Mock Version: 1.3.4 ENTER ['do'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'], nspawn_args=[]shell=Falseuid=0env={'LANG': 'en_US.UTF-8', 'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOSTNAME': 'mock', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'HOME': '/builddir', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PS1': ' \\s-\\v\\$ '}chrootPath='/var/lib/mock/epel-1-armhfp/root'gid=135user='mockbuild'timeout=0logger=printOutput=True) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'] with env {'LANG': 'en_US.UTF-8', 'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOSTNAME': 'mock', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'HOME': '/builddir', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PS1': ' \\s-\\v\\$ '} and shell False Building target platforms: armv7hl Building for target armv7hl Wrote: /builddir/build/SRPMS/python-cocotb-1.3.1-1.el7.src.rpm Child return code was: 0 ENTER ['do'](['bash', '--login', '-c', u'/usr/bin/rpmbuild -bb --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'], nspawn_args=[]shell=Falseuid=0env={'LANG': 'en_US.UTF-8', 'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOSTNAME': 'mock', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'HOME': '/builddir', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PS1': ' \\s-\\v\\$ '}chrootPath='/var/lib/mock/epel-1-armhfp/root'gid=135user='mockbuild'timeout=0logger=printOutput=True) Executing command: ['bash', '--login', '-c', u'/usr/bin/rpmbuild -bb --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'] with env {'LANG': 'en_US.UTF-8', 'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOSTNAME': 'mock', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'HOME': '/builddir', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PS1': ' \\s-\\v\\$ '} and shell False Building target platforms: armv7hl Building for target armv7hl Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.38BhPi + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf cocotb-1.3.1 + /usr/bin/gzip -dc /builddir/build/SOURCES/cocotb-1.3.1.tar.gz + /usr/bin/tar -xf - + STATUS=0 + '[' 0 -ne 0 ']' + cd cocotb-1.3.1 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + /usr/bin/cat /builddir/build/SOURCES/0001-Fix-armhfp.patch + /usr/bin/patch -p1 -s + sed '/$(MAKE) -k -C examples/d' -i Makefile + sed 's/env python/python2/g' -i bin/combine_results.py + sed '/env python/d' -i cocotb/ANSI.py cocotb/__init__.py cocotb/_py_compat.py cocotb/_version.py cocotb/binary.py cocotb/bus.py cocotb/clock.py cocotb/config.py cocotb/decorators.py cocotb/handle.py cocotb/log.py cocotb/memdebug.py cocotb/outcomes.py cocotb/regression.py cocotb/result.py cocotb/scheduler.py cocotb/scoreboard.py cocotb/triggers.py cocotb/utils.py cocotb/wavedrom.py cocotb/xunit_reporter.py + sed '/env python/d' -i cocotb/drivers/__init__.py cocotb/drivers/amba.py cocotb/drivers/avalon.py cocotb/drivers/opb.py cocotb/drivers/xgmii.py + sed '/env python/d' -i cocotb/generators/__init__.py cocotb/generators/bit.py cocotb/generators/byte.py cocotb/generators/packet.py + sed '/env python/d' -i cocotb/monitors/__init__.py cocotb/monitors/avalon.py cocotb/monitors/xgmii.py + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.mpwIFF + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.3.1 + CFLAGS='-O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector-strong --param=ssp-buffer-size=4 -grecord-gcc-switches -march=armv7-a -mfpu=vfpv3-d16 -mfloat-abi=hard' + /usr/bin/python2 setup.py build '--executable=/usr/bin/python2 -s' /usr/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'python_requires' warnings.warn(msg) /usr/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'long_description_content_type' warnings.warn(msg) running build running build_py creating build creating build/lib creating build/lib/cocotb copying cocotb/_py_compat.py -> build/lib/cocotb copying cocotb/handle.py -> build/lib/cocotb copying cocotb/ANSI.py -> build/lib/cocotb copying cocotb/bus.py -> build/lib/cocotb copying cocotb/scoreboard.py -> build/lib/cocotb copying cocotb/xunit_reporter.py -> build/lib/cocotb copying cocotb/_version.py -> build/lib/cocotb copying cocotb/binary.py -> build/lib/cocotb copying cocotb/scheduler.py -> build/lib/cocotb copying cocotb/triggers.py -> build/lib/cocotb copying cocotb/memdebug.py -> build/lib/cocotb copying cocotb/decorators.py -> build/lib/cocotb copying cocotb/outcomes.py -> build/lib/cocotb copying cocotb/utils.py -> build/lib/cocotb copying cocotb/__init__.py -> build/lib/cocotb copying cocotb/regression.py -> build/lib/cocotb copying cocotb/wavedrom.py -> build/lib/cocotb copying cocotb/config.py -> build/lib/cocotb copying cocotb/result.py -> build/lib/cocotb copying cocotb/clock.py -> build/lib/cocotb copying cocotb/log.py -> build/lib/cocotb creating build/lib/cocotb/generators copying cocotb/generators/byte.py -> build/lib/cocotb/generators copying cocotb/generators/packet.py -> build/lib/cocotb/generators copying cocotb/generators/bit.py -> build/lib/cocotb/generators copying cocotb/generators/__init__.py -> build/lib/cocotb/generators creating build/lib/cocotb/drivers copying cocotb/drivers/avalon.py -> build/lib/cocotb/drivers copying cocotb/drivers/xgmii.py -> build/lib/cocotb/drivers copying cocotb/drivers/__init__.py -> build/lib/cocotb/drivers copying cocotb/drivers/amba.py -> build/lib/cocotb/drivers copying cocotb/drivers/opb.py -> build/lib/cocotb/drivers creating build/lib/cocotb/monitors copying cocotb/monitors/avalon.py -> build/lib/cocotb/monitors copying cocotb/monitors/xgmii.py -> build/lib/cocotb/monitors copying cocotb/monitors/__init__.py -> build/lib/cocotb/monitors running egg_info creating cocotb.egg-info writing cocotb.egg-info/PKG-INFO writing top-level names to cocotb.egg-info/top_level.txt writing dependency_links to cocotb.egg-info/dependency_links.txt writing entry points to cocotb.egg-info/entry_points.txt writing manifest file 'cocotb.egg-info/SOURCES.txt' reading manifest file 'cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' warning: no files found matching '*.tcl' under directory 'examples' warning: no files found matching '*.cfg' under directory 'examples' warning: no files found matching 'version' writing manifest file 'cocotb.egg-info/SOURCES.txt' creating build/lib/cocotb/share creating build/lib/cocotb/share/include copying cocotb/share/include/cocotb_utils.h -> build/lib/cocotb/share/include copying cocotb/share/include/embed.h -> build/lib/cocotb/share/include copying cocotb/share/include/gpi.h -> build/lib/cocotb/share/include copying cocotb/share/include/gpi_logging.h -> build/lib/cocotb/share/include copying cocotb/share/include/sv_vpi_user.h -> build/lib/cocotb/share/include copying cocotb/share/include/vhpi_user.h -> build/lib/cocotb/share/include copying cocotb/share/include/vpi_user.h -> build/lib/cocotb/share/include copying cocotb/share/include/vpi_user_ext.h -> build/lib/cocotb/share/include creating build/lib/cocotb/share/lib copying cocotb/share/lib/Makefile -> build/lib/cocotb/share/lib creating build/lib/cocotb/share/lib/compat copying cocotb/share/lib/compat/python3_compat.h -> build/lib/cocotb/share/lib/compat creating build/lib/cocotb/share/lib/embed copying cocotb/share/lib/embed/Makefile -> build/lib/cocotb/share/lib/embed copying cocotb/share/lib/embed/gpi_embed.c -> build/lib/cocotb/share/lib/embed creating build/lib/cocotb/share/lib/fli copying cocotb/share/lib/fli/FliCbHdl.cpp -> build/lib/cocotb/share/lib/fli copying cocotb/share/lib/fli/FliImpl.cpp -> build/lib/cocotb/share/lib/fli copying cocotb/share/lib/fli/FliImpl.h -> build/lib/cocotb/share/lib/fli copying cocotb/share/lib/fli/FliObjHdl.cpp -> build/lib/cocotb/share/lib/fli copying cocotb/share/lib/fli/Makefile -> build/lib/cocotb/share/lib/fli creating build/lib/cocotb/share/lib/gpi copying cocotb/share/lib/gpi/GpiCbHdl.cpp -> build/lib/cocotb/share/lib/gpi copying cocotb/share/lib/gpi/GpiCommon.cpp -> build/lib/cocotb/share/lib/gpi copying cocotb/share/lib/gpi/Makefile -> build/lib/cocotb/share/lib/gpi copying cocotb/share/lib/gpi/gpi_priv.h -> build/lib/cocotb/share/lib/gpi creating build/lib/cocotb/share/lib/gpi_log copying cocotb/share/lib/gpi_log/Makefile -> build/lib/cocotb/share/lib/gpi_log copying cocotb/share/lib/gpi_log/gpi_logging.c -> build/lib/cocotb/share/lib/gpi_log creating build/lib/cocotb/share/lib/simulator copying cocotb/share/lib/simulator/Makefile -> build/lib/cocotb/share/lib/simulator copying cocotb/share/lib/simulator/simulatormodule.c -> build/lib/cocotb/share/lib/simulator copying cocotb/share/lib/simulator/simulatormodule.h -> build/lib/cocotb/share/lib/simulator copying cocotb/share/lib/simulator/simulatormodule_python2.c -> build/lib/cocotb/share/lib/simulator copying cocotb/share/lib/simulator/simulatormodule_python3.c -> build/lib/cocotb/share/lib/simulator creating build/lib/cocotb/share/lib/utils copying cocotb/share/lib/utils/Makefile -> build/lib/cocotb/share/lib/utils copying cocotb/share/lib/utils/cocotb_utils.c -> build/lib/cocotb/share/lib/utils creating build/lib/cocotb/share/lib/verilator copying cocotb/share/lib/verilator/verilator.cpp -> build/lib/cocotb/share/lib/verilator creating build/lib/cocotb/share/lib/vhpi copying cocotb/share/lib/vhpi/Makefile -> build/lib/cocotb/share/lib/vhpi copying cocotb/share/lib/vhpi/VhpiCbHdl.cpp -> build/lib/cocotb/share/lib/vhpi copying cocotb/share/lib/vhpi/VhpiImpl.cpp -> build/lib/cocotb/share/lib/vhpi copying cocotb/share/lib/vhpi/VhpiImpl.h -> build/lib/cocotb/share/lib/vhpi creating build/lib/cocotb/share/lib/vpi copying cocotb/share/lib/vpi/Makefile -> build/lib/cocotb/share/lib/vpi copying cocotb/share/lib/vpi/VpiCbHdl.cpp -> build/lib/cocotb/share/lib/vpi copying cocotb/share/lib/vpi/VpiImpl.cpp -> build/lib/cocotb/share/lib/vpi copying cocotb/share/lib/vpi/VpiImpl.h -> build/lib/cocotb/share/lib/vpi creating build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.doc -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.inc -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.paths -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.pylib -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.pylib.Darwin -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.pylib.Linux -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.pylib.Msys -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.rules -> build/lib/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.sim -> build/lib/cocotb/share/makefiles creating build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.aldec -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.cvc -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.ghdl -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.icarus -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.ius -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.modelsim -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.nvc -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.questa -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.vcs -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.verilator -> build/lib/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.xcelium -> build/lib/cocotb/share/makefiles/simulators copying cocotb/../cocotb/share/lib/fli/entrypoint.vhdl -> build/lib/cocotb/../cocotb/share/lib/fli + sleep 1 + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.QFZ0hi + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm '!=' / ']' + rm -rf /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm ++ dirname /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm + cd cocotb-1.3.1 + CFLAGS='-O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector-strong --param=ssp-buffer-size=4 -grecord-gcc-switches -march=armv7-a -mfpu=vfpv3-d16 -mfloat-abi=hard' + /usr/bin/python2 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm /usr/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'python_requires' warnings.warn(msg) /usr/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'long_description_content_type' warnings.warn(msg) running install running install_lib creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7 creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/_py_compat.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/handle.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/ANSI.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/bus.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/scoreboard.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/xunit_reporter.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/_version.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/binary.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/scheduler.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/triggers.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators copying build/lib/cocotb/generators/byte.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators copying build/lib/cocotb/generators/packet.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators copying build/lib/cocotb/generators/bit.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators copying build/lib/cocotb/generators/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.inc -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.pylib.Darwin -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.pylib.Msys -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.paths -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.aldec -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.questa -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.ius -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.nvc -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators copying build/lib/cocotb/share/makefiles/Makefile.sim -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.doc -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.rules -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.pylib -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles copying build/lib/cocotb/share/makefiles/Makefile.pylib.Linux -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/makefiles creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/sv_vpi_user.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/gpi.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/vpi_user.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/embed.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/vhpi_user.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include copying build/lib/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/include creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/compat copying build/lib/cocotb/share/lib/compat/python3_compat.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/compat creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/embed copying build/lib/cocotb/share/lib/embed/gpi_embed.c -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/embed copying build/lib/cocotb/share/lib/embed/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/embed creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator copying build/lib/cocotb/share/lib/simulator/simulatormodule.c -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator copying build/lib/cocotb/share/lib/simulator/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator copying build/lib/cocotb/share/lib/simulator/simulatormodule_python2.c -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator copying build/lib/cocotb/share/lib/simulator/simulatormodule_python3.c -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator copying build/lib/cocotb/share/lib/simulator/simulatormodule.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi_log copying build/lib/cocotb/share/lib/gpi_log/gpi_logging.c -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi_log copying build/lib/cocotb/share/lib/gpi_log/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi_log creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi copying build/lib/cocotb/share/lib/vpi/VpiCbHdl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi copying build/lib/cocotb/share/lib/vpi/VpiImpl.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi copying build/lib/cocotb/share/lib/vpi/VpiImpl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi copying build/lib/cocotb/share/lib/vpi/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi copying build/lib/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi copying build/lib/cocotb/share/lib/vhpi/VhpiImpl.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi copying build/lib/cocotb/share/lib/vhpi/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi copying build/lib/cocotb/share/lib/vhpi/VhpiImpl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/fli copying build/lib/cocotb/share/lib/fli/entrypoint.vhdl -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/fli copying build/lib/cocotb/share/lib/fli/FliObjHdl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/fli copying build/lib/cocotb/share/lib/fli/FliImpl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/fli copying build/lib/cocotb/share/lib/fli/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/fli copying build/lib/cocotb/share/lib/fli/FliImpl.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/fli copying build/lib/cocotb/share/lib/fli/FliCbHdl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/fli creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi copying build/lib/cocotb/share/lib/gpi/GpiCommon.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi copying build/lib/cocotb/share/lib/gpi/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi copying build/lib/cocotb/share/lib/gpi/GpiCbHdl.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi copying build/lib/cocotb/share/lib/gpi/gpi_priv.h -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi copying build/lib/cocotb/share/lib/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/verilator copying build/lib/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/verilator creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/utils copying build/lib/cocotb/share/lib/utils/cocotb_utils.c -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/utils copying build/lib/cocotb/share/lib/utils/Makefile -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/share/lib/utils copying build/lib/cocotb/memdebug.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/decorators.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/outcomes.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/utils.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers copying build/lib/cocotb/drivers/avalon.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers copying build/lib/cocotb/drivers/xgmii.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers copying build/lib/cocotb/drivers/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers copying build/lib/cocotb/drivers/amba.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers copying build/lib/cocotb/drivers/opb.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers copying build/lib/cocotb/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/regression.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/wavedrom.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/config.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/result.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/monitors copying build/lib/cocotb/monitors/avalon.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/monitors copying build/lib/cocotb/monitors/xgmii.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/monitors copying build/lib/cocotb/monitors/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/monitors copying build/lib/cocotb/clock.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb copying build/lib/cocotb/log.py -> /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/_py_compat.py to _py_compat.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/handle.py to handle.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/ANSI.py to ANSI.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/bus.py to bus.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/scoreboard.py to scoreboard.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/xunit_reporter.py to xunit_reporter.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/_version.py to _version.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/binary.py to binary.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/scheduler.py to scheduler.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/triggers.py to triggers.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators/byte.py to byte.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators/packet.py to packet.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators/bit.py to bit.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/generators/__init__.py to __init__.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/memdebug.py to memdebug.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/decorators.py to decorators.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/outcomes.py to outcomes.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/utils.py to utils.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers/avalon.py to avalon.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers/xgmii.py to xgmii.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers/__init__.py to __init__.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers/amba.py to amba.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/drivers/opb.py to opb.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/__init__.py to __init__.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/regression.py to regression.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/wavedrom.py to wavedrom.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/config.py to config.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/result.py to result.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/monitors/avalon.py to avalon.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/monitors/xgmii.py to xgmii.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/monitors/__init__.py to __init__.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/clock.py to clock.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb/log.py to log.pyc writing byte-compilation script '/tmp/tmpkLXPhk.py' /usr/bin/python2 -O /tmp/tmpkLXPhk.py removing /tmp/tmpkLXPhk.py running install_egg_info running egg_info writing cocotb.egg-info/PKG-INFO writing top-level names to cocotb.egg-info/top_level.txt writing dependency_links to cocotb.egg-info/dependency_links.txt writing entry points to cocotb.egg-info/entry_points.txt reading manifest file 'cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' warning: no files found matching '*.tcl' under directory 'examples' warning: no files found matching '*.cfg' under directory 'examples' warning: no files found matching 'version' writing manifest file 'cocotb.egg-info/SOURCES.txt' Copying cocotb.egg-info to /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7/site-packages/cocotb-1.3.1-py2.7.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/bin + /usr/lib/rpm/find-debuginfo.sh --strict-build-id -m --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 /builddir/build/BUILD/cocotb-1.3.1 /usr/lib/rpm/sepdebugcrcfix: Updated 0 CRC32s, 0 CRC32s did match. find: 'debug': No such file or directory + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-compress + /usr/lib/rpm/redhat/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/brp-python-bytecompile /usr/bin/python 1 Bytecompiling .py files below /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/lib/python2.7 using /usr/bin/python2.7 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/lib/rpm/redhat/brp-java-repack-jars Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.meEcwi + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.3.1 + export PYTHON_BIN=python2 + PYTHON_BIN=python2 + make SIM=icarus make -k -C tests make[1]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests' cd test_cases/issue_120 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_120' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_120' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_120/../../../tests/designs/sample_module/sample_module.sv mkdir -p /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l mkdir -p /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l make -C /builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/utils SIM=icarus make[4]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/utils' gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/cocotb_utils.o cocotb_utils.c gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DICARUS -shared -Xlinker -L/usr/lib -o /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/libcocotbutils.so /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/cocotb_utils.o make[4]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/utils' make -C /builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/gpi_log SIM=icarus make[4]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/gpi_log' gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DFILTER -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/gpi_logging.o gpi_logging.c gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DFILTER -DICARUS -shared -Xlinker -L/usr/lib -o /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/libgpilog.so /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/gpi_logging.o -lpython2.7 make[4]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/gpi_log' make -C /builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/embed SIM=icarus make[4]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/embed' gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DPYTHON_SO_LIB=libpython2.7.so -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/gpi_embed.o gpi_embed.c gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DPYTHON_SO_LIB=libpython2.7.so -DICARUS -shared -Xlinker -L/usr/lib -o /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/libcocotb.so /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/gpi_embed.o -lpython2.7 -lgpilog -lcocotbutils -L/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l make[4]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/embed' make -C /builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/gpi EXTRA_LIBS= EXTRA_LIBDIRS= SIM=icarus make[4]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/gpi' g++ -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -DVPI_CHECKING -DLIB_EXT=so -DSINGLETON_HANDLES -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/GpiCbHdl.o GpiCbHdl.cpp g++ -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -DVPI_CHECKING -DLIB_EXT=so -DSINGLETON_HANDLES -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/GpiCommon.o GpiCommon.cpp gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DICARUS -shared -Xlinker -L/usr/lib -o /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/libgpi.so /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/GpiCbHdl.o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/GpiCommon.o -lcocotbutils -lgpilog -lcocotb -lstdc++ -L/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l make[4]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/gpi' make -C /builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/simulator SIM=icarus make[4]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/simulator' gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/simulatormodule.o simulatormodule.c gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DICARUS -shared -Xlinker -L/usr/lib -o /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/libsim.so /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/simulatormodule.o -lcocotbutils -lgpi -lgpilog -lpython2.7 -L/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l ln -sf libsim.so /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/simulator.so make[4]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/simulator' make -C /builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/vpi EXTRA_LIBS= EXTRA_LIBDIRS= SIM=icarus make[4]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/vpi' g++ -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -DVPI_CHECKING -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/VpiImpl.o VpiImpl.cpp g++ -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -DVPI_CHECKING -DICARUS -c -I/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/include -I/usr/include/python2.7 -o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/VpiCbHdl.o VpiCbHdl.cpp gcc -Werror -Wcast-qual -Wcast-align -Wwrite-strings -Wall -Wno-unused-parameter -fno-common -g -DDEBUG -fpic -Wstrict-prototypes -Waggregate-return -DICARUS -shared -Xlinker -L/usr/lib -o /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/libvpi.so /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/VpiImpl.o /builddir/build/BUILD/cocotb-1.3.1/build/obj/armv7l/VpiCbHdl.o -lgpilog -lgpi -lstdc++ -L/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l ln -sf libvpi.so /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/gpivpi.vpl ln -sf libvpi.so /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l/cocotb.vpi make[4]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/cocotb/share/lib/vpi' PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_120:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_120 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888827 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_120.issue_120_scheduling 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: issue_120_scheduling 0.00ns INFO ..t.issue_120_scheduling.0xb5bd6a70 decorators.py:253 in _advance Starting test: "issue_120_scheduling" Description: None 15.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: issue_120_scheduling 15.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 15.00ns INFO cocotb.regression regression.py:400 in _log_test_summary **************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** **************************************************************************************** ** issue_120.issue_120_scheduling PASS 15.00 0.01 1815.00 ** **************************************************************************************** 15.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 15.00 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 1210.16 NS/S ** ************************************************************************************* 15.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_120' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_120' cd test_cases/issue_134 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_134' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_134' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_134/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_134:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_reals,test_integers \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888827 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_integers.test_integer 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_reals.assign_double 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/2: test_integer 0.00ns INFO cocotb.test.test_integer.0xb5ad4e70 decorators.py:253 in _advance Starting test: "test_integer" Description: Test access to integers 0.01ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_integer (result was AttributeError) 0.01ns INFO cocotb.regression regression.py:348 in execute Running test 2/2: assign_double 0.01ns INFO ..otb.test.assign_double.0xb5ad4b50 decorators.py:253 in _advance Starting test: "assign_double" Description: Assign a random floating point value, read it back from the DUT and check it matches what we assigned 0.01ns INFO cocotb.test test_reals.py:21 in assign_double Setting the value 1.69579e+306 0.01ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: assign_double (result was AttributeError) 0.01ns INFO cocotb.regression regression.py:213 in tear_down Passed 2 tests (0 skipped) 0.01ns INFO cocotb.regression regression.py:400 in _log_test_summary ************************************************************************************ ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************ ** test_integers.test_integer PASS 0.01 0.00 10.57 ** ** test_reals.assign_double PASS 0.00 0.00 3.33 ** ************************************************************************************ 0.01ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.01 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 2.09 NS/S ** ************************************************************************************* 0.01ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_134' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_134' cd test_cases/issue_142 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_142' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_142' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_142/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_142:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_142 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888828 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_142.issue_142_overflow_error 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: issue_142_overflow_error 0.00ns INFO ..sue_142_overflow_error.0xb5b61d10 decorators.py:253 in _advance Starting test: "issue_142_overflow_error" Description: Tranparently convert ints too long to pass through the GPI interface natively into BinaryValues 17.50ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: issue_142_overflow_error 17.50ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 17.50ns INFO cocotb.regression regression.py:400 in _log_test_summary ******************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************** ** issue_142.issue_142_overflow_error PASS 17.50 0.01 1914.97 ** ******************************************************************************************** 17.50ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 17.50 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 1456.09 NS/S ** ************************************************************************************* 17.50ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_142' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_142' cd test_cases/issue_253 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_253' Skipping issue_253 only runs on icarus make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_253' cd test_cases/issue_330 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_330' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_330' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_330/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_330:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_330 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888829 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test issue_330_direct 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test issue_330_iteration 0.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 0 tests (2 skipped) 0.00ns INFO cocotb.regression regression.py:400 in _log_test_summary *************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************************** ** issue_330.issue_330_direct N/A 0.00 0.00 0.00 ** ** issue_330.issue_330_iteration N/A 0.00 0.00 0.00 ** *************************************************************************************** 0.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.00 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_330' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_330' cd test_cases/issue_348 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_348' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_348' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_348/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_348:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_348 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888830 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_348.issue_348_either 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_348.issue_348_falling 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_348.issue_348_rising 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/3: issue_348_either 0.00ns INFO ...test.issue_348_either.0xb5b9dd10 decorators.py:253 in _advance Starting test: "issue_348_either" Description: Start two monitors on Edge 10.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: issue_348_either 10.00ns INFO cocotb.regression regression.py:348 in execute Running test 2/3: issue_348_falling 10.00ns INFO ..test.issue_348_falling.0xb5b9de10 decorators.py:253 in _advance Starting test: "issue_348_falling" Description: Start two monitors on FallingEdge 20.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: issue_348_falling 20.00ns INFO cocotb.regression regression.py:348 in execute Running test 3/3: issue_348_rising 20.00ns INFO ...test.issue_348_rising.0xb5b9db10 decorators.py:253 in _advance Starting test: "issue_348_rising" Description: Start two monitors on RisingEdge 30.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: issue_348_rising 30.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 3 tests (0 skipped) 30.00ns INFO cocotb.regression regression.py:400 in _log_test_summary ************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************* ** issue_348.issue_348_either PASS 10.00 0.01 921.33 ** ** issue_348.issue_348_falling PASS 10.00 0.01 1227.25 ** ** issue_348.issue_348_rising PASS 10.00 0.01 1228.75 ** ************************************************************************************* 30.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 30.00 NS ** ** REAL TIME : 0.03 S ** ** SIM / REAL TIME : 923.51 NS/S ** ************************************************************************************* 30.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_348' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_348' cd test_cases/issue_588 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_588' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_588' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_588/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_588:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_588 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888831 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_588.issue_588_coroutine_list 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: issue_588_coroutine_list 0.00ns INFO ..sue_588_coroutine_list.0xb5b6ab30 decorators.py:253 in _advance Starting test: "issue_588_coroutine_list" Description: Yield a list of triggers and coroutines. 5.00ns INFO cocotb.sample_module issue_588.py:13 in sample_coroutine Sample coroutine yielded. 5.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: issue_588_coroutine_list 5.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 5.00ns INFO cocotb.regression regression.py:400 in _log_test_summary ******************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************** ** issue_588.issue_588_coroutine_list PASS 5.00 0.00 1692.96 ** ******************************************************************************************** 5.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 5.00 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 880.44 NS/S ** ************************************************************************************* 5.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_588' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_588' cd test_cases/issue_768_a && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_a' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_a' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_a/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_a:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_768 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888832 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_768.test 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: test 0.00ns INFO cocotb.test.test.0xb5b43970 decorators.py:253 in _advance Starting test: "test" Description: None 0.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test 0.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:400 in _log_test_summary ************************************************************************ ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************ ** issue_768.test PASS 0.00 0.00 1.64 ** ************************************************************************ 0.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.54 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_a' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_a' cd test_cases/issue_768_b && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_b' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_b' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_b/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_b:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_768 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888833 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_768.do_test 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: do_test 0.00ns INFO cocotb.test.do_test.0xb5b549d0 decorators.py:253 in _advance Starting test: "do_test" Description: None 0.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: do_test 0.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:400 in _log_test_summary *************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************** ** issue_768.do_test PASS 0.00 0.00 1.62 ** *************************************************************************** 0.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.56 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_b' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_768_b' cd test_cases/issue_857 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_857' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_857' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_857/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_857:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_857 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888834 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_857.dummy_coroutine_001 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: dummy_coroutine_001 0.00ns INFO cocotb.test._my_test.0xb5ba0c90 decorators.py:253 in _advance Starting test: "dummy_coroutine_001" Description: Automatically generated test 10.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: dummy_coroutine_001 10.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 10.00ns INFO cocotb.regression regression.py:400 in _log_test_summary *************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************************** ** issue_857.dummy_coroutine_001 PASS 10.00 0.00 7200.01 ** *************************************************************************************** 10.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 10.00 NS ** ** REAL TIME : 0.02 S ** ** SIM / REAL TIME : 640.19 NS/S ** ************************************************************************************* 10.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_857' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_857' cd test_cases/issue_892 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_892' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_892' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_892/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_892:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_892 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888834 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_892.error_test 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: error_test 0.00ns INFO cocotb.test.error_test.0xb5baa9d0 decorators.py:253 in _advance Starting test: "error_test" Description: None 1.00ns INFO ..ine.raise_test_success.0xb5baa950 scheduler.py:505 in unschedule Test stopped by this forked coroutine 1.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: error_test 1.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 1.00ns INFO cocotb.regression regression.py:400 in _log_test_summary ****************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ****************************************************************************** ** issue_892.error_test PASS 1.00 0.00 667.28 ** ****************************************************************************** 1.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 1.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 244.62 NS/S ** ************************************************************************************* 1.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_892' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_892' cd test_cases/issue_893 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_893' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_893' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_893/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_893:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_893 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888835 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_893.fork_erroring_coroutine 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: fork_erroring_coroutine 0.00ns INFO ..ork_erroring_coroutine.0xb5b329b0 decorators.py:253 in _advance Starting test: "fork_erroring_coroutine" Description: None 0.00ns ERROR ..e.coroutine_with_undef.0xb5b32910 scheduler.py:509 in unschedule Exception raised by this forked coroutine 0.00ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: fork_erroring_coroutine (result was NameError) 0.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:400 in _log_test_summary ******************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************* ** issue_893.fork_erroring_coroutine PASS 0.00 0.00 0.88 ** ******************************************************************************************* 0.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.28 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_893' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_893' cd test_cases/issue_957 && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_957' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_957' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_957/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_957:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=issue_957 \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888836 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_957.test1 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test issue_957.test1 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/2: test1 0.00ns INFO cocotb.test.test1.0xb5b13a30 decorators.py:253 in _advance Starting test: "test1" Description: None 1.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test1 1.00ns INFO cocotb.regression regression.py:348 in execute Running test 2/2: test1 1.00ns INFO cocotb.test.test1.0xb5b13c30 decorators.py:253 in _advance Starting test: "test1" Description: None VCD info: dumpfile waveform.vcd opened for output. ERROR: called callback function returned NULL Failed to execute callback due to Python exception Traceback (most recent call last): File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/scheduler.py", line 347, in react .format(self._pending_triggers) cocotb.scheduler.InternalError: Expected all triggers to be handled but found [] make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_957' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/issue_957' cd test_cases/test_array && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_array' Skipping test_array since icarus doesn't support indexing make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_array' cd test_cases/test_avalon && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s burst_read_master -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon/../../../tests/designs/avalon_module/burst_read_master.v PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_avalon \ TESTCASE= TOPLEVEL=burst_read_master TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888837 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_avalon.test_burst_read 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: test_burst_read 0.00ns INFO ..b.test.test_burst_read.0xb5ad6230 decorators.py:253 in _advance Starting test: "test_burst_read" Description: Testing burst read {160: 160L, 161: 161L, 162: 162L, 163: 163L, 164: 164L, 165: 165L, 166: 166L, 167: 167L, 168: 168L, 169: 169L, 170: 170L, 171: 171L, 172: 172L, 173: 173L, 174: 174L, 175: 175L, 176: 176L, 177: 177L, 178: 178L, 179: 179L, 180: 180L, 181: 181L, 182: 182L, 183: 183L, 184: 184L, 185: 185L, 186: 186L, 187: 187L, 188: 188L, 189: 189L, 190: 190L, 191: 191L} 32 8bits values read 0.43ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_burst_read 0.43ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 0.43ns INFO cocotb.regression regression.py:400 in _log_test_summary ************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************* ** test_avalon.test_burst_read PASS 0.43 0.05 9.22 ** ************************************************************************************* 0.43ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.43 NS ** ** REAL TIME : 0.07 S ** ** SIM / REAL TIME : 6.49 NS/S ** ************************************************************************************* 0.43ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon' cd test_cases/test_avalon_stream && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon_stream' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon_stream' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s avalon_streaming -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon_stream/../../../tests/designs/avalon_streaming_module/avalon_streaming.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon_stream:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_avalon_stream \ TESTCASE= TOPLEVEL=avalon_streaming TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888838 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_avalon_stream.test_avalon_stream 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: test_avalon_stream 0.00ns INFO ..est.test_avalon_stream.0xb5a721f0 decorators.py:253 in _advance Starting test: "test_avalon_stream" Description: Test stream of avalon data 0.00ns INFO cocotb.scoreboard.avalon_streaming scoreboard.py:215 in add_interface Created with reorder_depth 0 681000000000.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_avalon_stream 681000000000.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 681000000000.00ns INFO cocotb.regression regression.py:400 in _log_test_summary *********************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *********************************************************************************************** ** test_avalon_stream.test_avalon_stream PASS 681000000000.00 0.09 7209327215180.29 ** *********************************************************************************************** 681000000000.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 681000000000.00 NS ** ** REAL TIME : 0.11 S ** ** SIM / REAL TIME : 6200429865520.50 NS/S ** ************************************************************************************* 681000000000.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon_stream' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_avalon_stream' cd test_cases/test_closedown && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_closedown' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_closedown' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s close_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_closedown/../../../tests/designs/close_module/close_module.v PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_closedown:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_closedown \ TESTCASE= TOPLEVEL=close_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888839 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_closedown.test_failure_from_system_task 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: test_failure_from_system_task 0.00ns INFO ..ilure_from_system_task.0xb5ba6d30 decorators.py:253 in _advance Starting test: "test_failure_from_system_task" Description: Allow the dut to call $fail_test() from verilog 0.00ns INFO cocotb.simulator close_module.v:58 in *** NO MESSAGE PROVIDED *** 0.00ns INFO cocotb.simulator close_module.v:59 in This is an info message 0.00ns WARNING cocotb.simulator close_module.v:60 in *** NO MESSAGE PROVIDED *** 0.00ns WARNING cocotb.simulator close_module.v:61 in This is a warning message 0.00ns ERROR cocotb.simulator close_module.v:62 in *** NO MESSAGE PROVIDED *** 0.00ns ERROR cocotb.simulator close_module.v:63 in This is an error message 0.00ns CRITICAL cocotb.simulator close_module.v:64 in This is a a fatal message that fails the test 1.06ns ERROR cocotb.scheduler __init__.py:190 in _sim_event Failing test at simulator request 1.06ns INFO cocotb.regression regression.py:292 in handle_result Test failed as expected: test_failure_from_system_task (result was TestFailure) 1.06ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 1.06ns INFO cocotb.regression regression.py:400 in _log_test_summary ****************************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ****************************************************************************************************** ** test_closedown.test_failure_from_system_task PASS 1.06 0.01 209.73 ** ****************************************************************************************************** 1.06ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 1.06 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 129.64 NS/S ** ************************************************************************************* 1.06ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_closedown' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_closedown' cd test_cases/test_cocotb && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_cocotb' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_cocotb' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_cocotb/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_cocotb:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_cocotb \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888840 0.00ns WARNING cocotb.regression regression.py:169 in initialise Failed to initialize test test_duplicate_yield Traceback (most recent call last): File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/regression.py", line 164, in initialise test = thing(self._dut) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/decorators.py", line 483, in __call__ return RunningTest(self._func(*args, **kwargs), self) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/decorators.py", line 236, in __init__ RunningCoroutine.__init__(self, inst, parent) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/decorators.py", line 111, in __init__ "keyword?" % self.funcname TypeError: test_duplicate_yield isn't a valid coroutine! Did you use the yield keyword? 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test test_duplicate_yield 0.00ns WARNING cocotb.sample_module test_cocotb.py:88 in test_not_a_coroutine This test will fail because we don't yield anything 0.00ns WARNING cocotb.regression regression.py:169 in initialise Failed to initialize test test_not_a_coroutine Traceback (most recent call last): File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/regression.py", line 164, in initialise test = thing(self._dut) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/decorators.py", line 483, in __call__ return RunningTest(self._func(*args, **kwargs), self) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/decorators.py", line 236, in __init__ RunningCoroutine.__init__(self, inst, parent) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/decorators.py", line 111, in __init__ "keyword?" % self.funcname TypeError: test_not_a_coroutine isn't a valid coroutine! Did you use the yield keyword? 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test test_not_a_coroutine 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.consistent_join 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.join_finished 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_adding_a_coroutine_without_starting 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_afterdelay_in_readonly 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_afterdelay_in_readonly_valid 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_anternal_clock 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_assertion_is_failure 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_bad_attr 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_binary_value 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_binary_value_compat 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_cached_write_in_readonly 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_clock_cycles 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_clock_cycles_forked 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_clock_with_units 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_combine 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_coroutine_close_down 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_coroutine_kill 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_coroutine_syntax_error 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_create_error_deprecated 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_edge_count 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_edge_identity 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_either_edge 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_exceptions_direct 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_exceptions_first 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_exceptions_forked 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_expect_exception_list 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_expect_particular_exception 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_falling_edge 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_fork_and_monitor 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_fork_syntax_error 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_function_not_a_coroutine 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_function_not_decorated 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_function_not_decorated_fork 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_function_reentrant_clock 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_immediate_coro 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_immediate_test 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_join_identity 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_kill_twice 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_lessthan_raises_error 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_logging_with_args 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_nested_first 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_raise_error_deprecated 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_readwrite 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_readwrite_in_readonly 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_rising_edge 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_singleton_isinstance 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_stack_overflow 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_syntax_error 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_tests_are_tests 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_timeout_func_fail 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_timeout_func_pass 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_timeout_testdec_fail 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_timeout_testdec_pass 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_timeout_testdec_simultaneous 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_timer_with_rational_units 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_timer_with_units 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_trigger_with_failing_prime 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_writes_have_taken_effect_after_readwrite 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_yield_list 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_cocotb.test_yield_list_stale 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/60: consistent_join 0.00ns INFO ..b.test.consistent_join.0xb5a17ef0 decorators.py:253 in _advance Starting test: "consistent_join" Description: Test that joining a coroutine returns the finished value 58.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: consistent_join 58.00ns INFO cocotb.regression regression.py:348 in execute Running test 2/60: join_finished 58.00ns INFO ..otb.test.join_finished.0xb5a95390 decorators.py:253 in _advance Starting test: "join_finished" Description: Test that joining a coroutine that has already been joined gives the same result as it did the first time. 58.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: join_finished 58.00ns INFO cocotb.regression regression.py:348 in execute Running test 3/60: test_adding_a_coroutine_without_starting 58.00ns INFO ..utine_without_starting.0xb5a8cd30 decorators.py:253 in _advance Starting test: "test_adding_a_coroutine_without_starting" Description: Catch (and provide useful error) for attempts to fork coroutines incorrectly 58.10ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_adding_a_coroutine_without_starting 58.10ns INFO cocotb.regression regression.py:348 in execute Running test 4/60: test_afterdelay_in_readonly 58.10ns INFO ..afterdelay_in_readonly.0xb5a95df0 decorators.py:253 in _advance Starting test: "test_afterdelay_in_readonly" Description: Test doing invalid sim operation VCD info: dumpfile waveform.vcd opened for output. SCHEDULER ERROR: read-only sync events created RW events! 59.10ns INFO cocotb.regression regression.py:292 in handle_result Test failed as expected: test_afterdelay_in_readonly (result was TestFailure) 59.10ns INFO cocotb.regression regression.py:348 in execute Running test 5/60: test_afterdelay_in_readonly_valid 59.10ns INFO ..elay_in_readonly_valid.0xb5a17ad0 decorators.py:253 in _advance Starting test: "test_afterdelay_in_readonly_valid" Description: Same as test_afterdelay_in_readonly but with valid delay > 0 59.11ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_afterdelay_in_readonly_valid 59.11ns INFO cocotb.regression regression.py:348 in execute Running test 6/60: test_anternal_clock 59.11ns INFO ..st.test_anternal_clock.0xb5a8c910 decorators.py:253 in _advance Starting test: "test_anternal_clock" Description: Test ability to yield on an external non cocotb coroutine decorated function 69.11ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_anternal_clock 69.11ns INFO cocotb.regression regression.py:348 in execute Running test 7/60: test_assertion_is_failure 69.11ns INFO ..t_assertion_is_failure.0xb5a8c210 decorators.py:253 in _advance Starting test: "test_assertion_is_failure" Description: None 69.11ns INFO cocotb.regression regression.py:292 in handle_result Test failed as expected: test_assertion_is_failure (result was AssertionError) 69.11ns INFO cocotb.regression regression.py:348 in execute Running test 8/60: test_bad_attr 69.11ns INFO ..otb.test.test_bad_attr.0xb5a95590 decorators.py:253 in _advance Starting test: "test_bad_attr" Description: None 69.11ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_bad_attr 69.11ns INFO cocotb.regression regression.py:348 in execute Running test 9/60: test_binary_value 69.11ns INFO ..test.test_binary_value.0xb5a8c610 decorators.py:253 in _advance Starting test: "test_binary_value" Description: Test out the cocotb supplied BinaryValue class for manipulating values in a style familiar to rtl coders. 69.11ns INFO cocotb.sample_module test_cocotb.py:707 in test_binary_value Checking read access to the n_bits property 69.11ns INFO cocotb.sample_module test_cocotb.py:711 in test_binary_value Checking default endianness is Big Endian. 69.11ns INFO cocotb.sample_module test_cocotb.py:717 in test_binary_value Checking single index assignment works as expected on a Little Endian BinaryValue. 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[0] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000000000001 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[1] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000000000011 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[2] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000000000111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[3] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000000001111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[4] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000000011111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[5] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000000111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[6] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000001111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[7] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000011111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[8] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000000111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[9] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000001111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[10] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000011111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[11] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0000111111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[12] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0001111111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[13] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0011111111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[14] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b0111111111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:723 in test_binary_value Trying vec[15] = 1 69.11ns INFO cocotb.sample_module test_cocotb.py:729 in test_binary_value vec = 'b1111111111111111 69.11ns INFO cocotb.sample_module test_cocotb.py:731 in test_binary_value Checking slice assignment works as expected on a Little Endian BinaryValue. 69.11ns INFO cocotb.sample_module test_cocotb.py:740 in test_binary_value vec[7:0] = 'b00110101 69.11ns INFO cocotb.sample_module test_cocotb.py:741 in test_binary_value vec[15:8] = 'b11111111 69.11ns INFO cocotb.sample_module test_cocotb.py:742 in test_binary_value vec = 'b1111111100110101 69.21ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_binary_value 69.21ns INFO cocotb.regression regression.py:348 in execute Running test 10/60: test_binary_value_compat 69.21ns INFO ..st_binary_value_compat.0xb5a95270 decorators.py:253 in _advance Starting test: "test_binary_value_compat" Description: Test backwards-compatibility wrappers for BinaryValue 69.21ns INFO cocotb.sample_module test_cocotb.py:753 in test_binary_value_compat Checking the renaming of bits -> n_bits 69.31ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_binary_value_compat 69.31ns INFO cocotb.regression regression.py:348 in execute Running test 11/60: test_cached_write_in_readonly 69.31ns INFO ..ched_write_in_readonly.0xb5a818d0 decorators.py:253 in _advance Starting test: "test_cached_write_in_readonly" Description: Test doing invalid sim operation 69.41ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_cached_write_in_readonly (result was Exception) 69.41ns INFO cocotb.regression regression.py:348 in execute Running test 12/60: test_clock_cycles 69.41ns INFO ..test.test_clock_cycles.0xb5a178d0 decorators.py:253 in _advance Starting test: "test_clock_cycles" Description: Test the ClockCycles Trigger 69.51ns INFO cocotb.sample_module test_cocotb.py:692 in test_clock_cycles After one edge 70.51ns INFO cocotb.sample_module test_cocotb.py:696 in test_clock_cycles After 10 edges 70.52ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_clock_cycles 70.52ns INFO cocotb.regression regression.py:348 in execute Running test 13/60: test_clock_cycles_forked 70.52ns INFO ..st_clock_cycles_forked.0xb5a17bf0 decorators.py:253 in _advance Starting test: "test_clock_cycles_forked" Description: Test that ClockCycles can be used in forked coroutines 71.52ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_clock_cycles_forked 71.52ns INFO cocotb.regression regression.py:348 in execute Running test 14/60: test_clock_with_units 71.52ns INFO ...test_clock_with_units.0xb5a95490 decorators.py:253 in _advance Starting test: "test_clock_with_units" Description: None 71.52ns INFO cocotb.sample_module test_cocotb.py:231 in test_clock_with_units Created clock >Clock(1.0 MHz)< 71.52ns INFO cocotb.sample_module test_cocotb.py:236 in test_clock_with_units Created clock >Clock(250.0 MHz)< 2079.52ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_clock_with_units 2079.52ns INFO cocotb.regression regression.py:348 in execute Running test 15/60: test_combine 2079.52ns INFO cocotb.test.test_combine.0xb5a8cf30 decorators.py:253 in _advance Starting test: "test_combine" Description: Test the Combine trigger. 2079.55ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_combine 2079.55ns INFO cocotb.regression regression.py:348 in execute Running test 16/60: test_coroutine_close_down 2079.55ns INFO ..t_coroutine_close_down.0xb5a21510 decorators.py:253 in _advance Starting test: "test_coroutine_close_down" Description: None 2579.65ns INFO cocotb.sample_module test_cocotb.py:466 in test_coroutine_close_down Back from joins 2579.65ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_coroutine_close_down 2579.65ns INFO cocotb.regression regression.py:348 in execute Running test 17/60: test_coroutine_kill 2579.65ns INFO ..st.test_coroutine_kill.0xb5a8c310 decorators.py:253 in _advance Starting test: "test_coroutine_kill" Description: Test that killing a coroutine causes pending routine continue 2580.85ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_coroutine_kill 2580.85ns INFO cocotb.regression regression.py:348 in execute Running test 18/60: test_coroutine_syntax_error 2580.85ns INFO ..coroutine_syntax_error.0xb5a17270 decorators.py:253 in _advance Starting test: "test_coroutine_syntax_error" Description: Syntax error in a coroutine that we yield 2581.85ns WARNING cocotb.clk test_cocotb.py:159 in clock_gen Clock generator finished! 2581.95ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_coroutine_syntax_error (result was NameError) 2581.95ns INFO cocotb.regression regression.py:348 in execute Running test 19/60: test_create_error_deprecated 2581.95ns INFO ..reate_error_deprecated.0xb5a95790 decorators.py:253 in _advance Starting test: "test_create_error_deprecated" Description: None 2581.95ns ERROR cocotb.Timer.0xb5a401c0 result.py:65 in _raise_error A test exception None 2581.95ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_create_error_deprecated 2581.95ns INFO cocotb.regression regression.py:348 in execute Running test 20/60: test_edge_count 2581.95ns INFO ..b.test.test_edge_count.0xb5a8caf0 decorators.py:253 in _advance Starting test: "test_edge_count" Description: Count the number of edges is as expected 2583.05ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_edge_count 2583.05ns INFO cocotb.regression regression.py:348 in execute Running test 21/60: test_edge_identity 2583.05ns INFO ..est.test_edge_identity.0xb5a179d0 decorators.py:253 in _advance Starting test: "test_edge_identity" Description: Test that Edge triggers returns the same object each time 2583.06ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_edge_identity 2583.06ns INFO cocotb.regression regression.py:348 in execute Running test 22/60: test_either_edge 2583.06ns INFO ...test.test_either_edge.0xb5a21210 decorators.py:253 in _advance Starting test: "test_either_edge" Description: Test that either edge can be triggered on 2583.11ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_either_edge 2583.11ns INFO cocotb.regression regression.py:348 in execute Running test 23/60: test_exceptions_direct 2583.11ns INFO ..test_exceptions_direct.0xb5a8c110 decorators.py:253 in _advance Starting test: "test_exceptions_direct" Description: Test exception propagation via a direct yield statement 2583.12ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_exceptions_direct 2583.12ns INFO cocotb.regression regression.py:348 in execute Running test 24/60: test_exceptions_first 2583.12ns INFO ...test_exceptions_first.0xb5a17030 decorators.py:253 in _advance Starting test: "test_exceptions_first" Description: Test exception propagation via cocotb.triggers.First 2583.13ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_exceptions_first 2583.13ns INFO cocotb.regression regression.py:348 in execute Running test 25/60: test_exceptions_forked 2583.13ns INFO ..test_exceptions_forked.0xb5a95170 decorators.py:253 in _advance Starting test: "test_exceptions_forked" Description: Test exception propagation via cocotb.fork 2583.14ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_exceptions_forked 2583.14ns INFO cocotb.regression regression.py:348 in execute Running test 26/60: test_expect_exception_list 2583.14ns INFO .._expect_exception_list.0xb5a8c510 decorators.py:253 in _advance Starting test: "test_expect_exception_list" Description: None 2583.15ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_expect_exception_list (result was MyException) 2583.15ns INFO cocotb.regression regression.py:348 in execute Running test 27/60: test_expect_particular_exception 2583.15ns INFO ..t_particular_exception.0xb5a21110 decorators.py:253 in _advance Starting test: "test_expect_particular_exception" Description: None 2583.15ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_expect_particular_exception (result was MyException) 2583.15ns INFO cocotb.regression regression.py:348 in execute Running test 28/60: test_falling_edge 2583.15ns INFO ..test.test_falling_edge.0xb5a95ef0 decorators.py:253 in _advance Starting test: "test_falling_edge" Description: Test that a falling edge can be yielded on 2583.15ns INFO cocotb.sample_module test_cocotb.py:541 in do_single_edge_check Value of 1 is 1 2583.16ns INFO cocotb.sample_module test_cocotb.py:549 in do_single_edge_check Value of 0 is 0 2583.16ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_falling_edge 2583.16ns INFO cocotb.regression regression.py:348 in execute Running test 29/60: test_fork_and_monitor 2583.16ns INFO ...test_fork_and_monitor.0xb5a17470 decorators.py:253 in _advance Starting test: "test_fork_and_monitor" Description: None 2584.16ns INFO cocotb.clk test_cocotb.py:532 in count_edges_cycles Rising edge 0 detected 2584.17ns INFO cocotb.sample_module test_cocotb.py:515 in test_fork_and_monitor Count 0: Task still running 2585.16ns INFO cocotb.clk test_cocotb.py:532 in count_edges_cycles Rising edge 1 detected 2585.18ns INFO cocotb.sample_module test_cocotb.py:515 in test_fork_and_monitor Count 1: Task still running 2586.16ns INFO cocotb.clk test_cocotb.py:532 in count_edges_cycles Rising edge 2 detected 2586.19ns INFO cocotb.sample_module test_cocotb.py:515 in test_fork_and_monitor Count 2: Task still running 2587.16ns INFO cocotb.clk test_cocotb.py:532 in count_edges_cycles Rising edge 3 detected 2587.20ns INFO cocotb.sample_module test_cocotb.py:515 in test_fork_and_monitor Count 3: Task still running 2588.16ns INFO cocotb.clk test_cocotb.py:532 in count_edges_cycles Rising edge 4 detected 2588.21ns INFO cocotb.sample_module test_cocotb.py:515 in test_fork_and_monitor Count 4: Task still running 2589.16ns INFO cocotb.clk test_cocotb.py:532 in count_edges_cycles Rising edge 5 detected 2589.16ns INFO cocotb.clk test_cocotb.py:533 in count_edges_cycles Finished, returning 6 2589.16ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_fork_and_monitor 2589.16ns INFO cocotb.regression regression.py:348 in execute Running test 30/60: test_fork_syntax_error 2589.16ns INFO ..test_fork_syntax_error.0xb5a81ed0 decorators.py:253 in _advance Starting test: "test_fork_syntax_error" Description: Syntax error in a coroutine that we fork 2590.16ns WARNING cocotb.clk test_cocotb.py:159 in clock_gen Clock generator finished! 2590.26ns ERROR ..coroutine.syntax_error.0xb5a395b0 scheduler.py:509 in unschedule Exception raised by this forked coroutine 2590.26ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_fork_syntax_error (result was NameError) 2590.26ns INFO cocotb.regression regression.py:348 in execute Running test 31/60: test_function_not_a_coroutine 2590.26ns INFO ..nction_not_a_coroutine.0xb5a81cd0 decorators.py:253 in _advance Starting test: "test_function_not_a_coroutine" Description: Example of trying to yield a coroutine that isn't a coroutine 2590.76ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_not_a_coroutine 2590.76ns INFO cocotb.regression regression.py:348 in execute Running test 32/60: test_function_not_decorated 2590.76ns INFO ..function_not_decorated.0xb5a17cf0 decorators.py:253 in _advance Starting test: "test_function_not_decorated" Description: None 2590.76ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_not_decorated 2590.76ns INFO cocotb.regression regression.py:348 in execute Running test 33/60: test_function_not_decorated_fork 2590.76ns INFO ..ion_not_decorated_fork.0xb5a17ff0 decorators.py:253 in _advance Starting test: "test_function_not_decorated_fork" Description: Example of trying to fork a coroutine that isn't a coroutine 2591.76ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_not_decorated_fork 2591.76ns INFO cocotb.regression regression.py:348 in execute Running test 34/60: test_function_reentrant_clock 2591.76ns INFO ..nction_reentrant_clock.0xb5a21310 decorators.py:253 in _advance Starting test: "test_function_reentrant_clock" Description: Test yielding a reentrant clock 2593.77ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_reentrant_clock 2593.77ns INFO cocotb.regression regression.py:348 in execute Running test 35/60: test_immediate_coro 2593.77ns INFO ..st.test_immediate_coro.0xb5a816f0 decorators.py:253 in _advance Starting test: "test_immediate_coro" Description: Test that coroutines can return immediately 2593.77ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_immediate_coro 2593.77ns INFO cocotb.regression regression.py:348 in execute Running test 36/60: test_immediate_test 2593.77ns INFO ..st.test_immediate_test.0xb5a8c710 decorators.py:253 in _advance Starting test: "test_immediate_test" Description: Test that tests can return immediately 2593.77ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_immediate_test 2593.77ns INFO cocotb.regression regression.py:348 in execute Running test 37/60: test_join_identity 2593.77ns INFO ..est.test_join_identity.0xb5a21410 decorators.py:253 in _advance Starting test: "test_join_identity" Description: Test that Join() returns the same object each time 2593.77ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_join_identity 2593.77ns INFO cocotb.regression regression.py:348 in execute Running test 38/60: test_kill_twice 2593.77ns INFO ..b.test.test_kill_twice.0xb5a817d0 decorators.py:253 in _advance Starting test: "test_kill_twice" Description: Test that killing a coroutine that has already been killed does not crash 2593.77ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_kill_twice 2593.77ns INFO cocotb.regression regression.py:348 in execute Running test 39/60: test_lessthan_raises_error 2593.77ns INFO .._lessthan_raises_error.0xb5a17370 decorators.py:253 in _advance Starting test: "test_lessthan_raises_error" Description: Test that trying to use <= as if it were a comparison produces an error 2593.77ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_lessthan_raises_error 2593.77ns INFO cocotb.regression regression.py:348 in execute Running test 40/60: test_logging_with_args 2593.77ns INFO ..test_logging_with_args.0xb5a819d0 decorators.py:253 in _advance Starting test: "test_logging_with_args" Description: None 2593.77ns INFO cocotb.sample_module test_cocotb.py:671 in test_logging_with_args __str__ called 1 time(s) 2593.77ns INFO cocotb.sample_module test_cocotb.py:674 in test_logging_with_args No substitution 2593.77ns WARNING cocotb.sample_module test_cocotb.py:676 in test_logging_with_args Testing multiple line message 2593.88ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_logging_with_args 2593.88ns INFO cocotb.regression regression.py:348 in execute Running test 41/60: test_nested_first 2593.88ns INFO ..test.test_nested_first.0xb5a8cc30 decorators.py:253 in _advance Starting test: "test_nested_first" Description: Test that nested First triggers behave as expected 2593.88ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_nested_first 2593.88ns INFO cocotb.regression regression.py:348 in execute Running test 42/60: test_raise_error_deprecated 2593.88ns INFO ..raise_error_deprecated.0xb5a95690 decorators.py:253 in _advance Starting test: "test_raise_error_deprecated" Description: None 2593.88ns ERROR cocotb.Timer.0xb59788c8 result.py:65 in _raise_error A test exception None 2593.88ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_raise_error_deprecated 2593.88ns INFO cocotb.regression regression.py:348 in execute Running test 43/60: test_readwrite 2593.88ns INFO ..tb.test.test_readwrite.0xb5a81bd0 decorators.py:253 in _advance Starting test: "test_readwrite" Description: Test that ReadWrite can be waited on 2593.88ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_readwrite 2593.88ns INFO cocotb.regression regression.py:348 in execute Running test 44/60: test_readwrite_in_readonly 2593.88ns INFO .._readwrite_in_readonly.0xb5a95050 decorators.py:253 in _advance Starting test: "test_readwrite_in_readonly" Description: Test doing invalid sim operation SCHEDULER ERROR: read-only sync events created RW events! 2603.88ns INFO cocotb.regression regression.py:292 in handle_result Test failed as expected: test_readwrite_in_readonly (result was TestFailure) 2603.88ns INFO cocotb.regression regression.py:348 in execute Running test 45/60: test_rising_edge 2603.88ns INFO ...test.test_rising_edge.0xb5a8c810 decorators.py:253 in _advance Starting test: "test_rising_edge" Description: Test that a rising edge can be yielded on 2603.89ns INFO cocotb.sample_module test_cocotb.py:541 in do_single_edge_check Value of 0 is 0 2603.89ns INFO cocotb.sample_module test_cocotb.py:549 in do_single_edge_check Value of 1 is 1 2603.90ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_rising_edge 2603.90ns INFO cocotb.regression regression.py:348 in execute Running test 46/60: test_singleton_isinstance 2603.90ns INFO ..t_singleton_isinstance.0xb5a81dd0 decorators.py:253 in _advance Starting test: "test_singleton_isinstance" Description: Test that the result of trigger expression have a predictable type 2603.90ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_singleton_isinstance 2603.90ns INFO cocotb.regression regression.py:348 in execute Running test 47/60: test_stack_overflow 2603.90ns INFO ..st.test_stack_overflow.0xb5a177d0 decorators.py:253 in _advance Starting test: "test_stack_overflow" Description: Test against stack overflows when starting many coroutines that terminate before passing control to the simulator. 2604.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_stack_overflow 2604.00ns INFO cocotb.regression regression.py:348 in execute Running test 48/60: test_syntax_error 2604.00ns INFO ..test.test_syntax_error.0xb5a81ad0 decorators.py:253 in _advance Starting test: "test_syntax_error" Description: Syntax error in the test 2605.00ns WARNING cocotb.clk test_cocotb.py:159 in clock_gen Clock generator finished! 2605.00ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_syntax_error (result was NameError) 2605.00ns INFO cocotb.regression regression.py:348 in execute Running test 49/60: test_tests_are_tests 2605.00ns INFO ..t.test_tests_are_tests.0xb5a8c410 decorators.py:253 in _advance Starting test: "test_tests_are_tests" Description: Test that things annotated with cocotb.test are tests 2605.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_tests_are_tests 2605.00ns INFO cocotb.regression regression.py:348 in execute Running test 50/60: test_timeout_func_fail 2605.00ns INFO ..test_timeout_func_fail.0xb5a8ce30 decorators.py:253 in _advance Starting test: "test_timeout_func_fail" Description: None 2606.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_timeout_func_fail 2606.00ns INFO cocotb.regression regression.py:348 in execute Running test 51/60: test_timeout_func_pass 2606.00ns INFO ..test_timeout_func_pass.0xb5a17df0 decorators.py:253 in _advance Starting test: "test_timeout_func_pass" Description: None 2616.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_timeout_func_pass 2616.00ns INFO cocotb.regression regression.py:348 in execute Running test 52/60: test_timeout_testdec_fail 2616.00ns INFO cocotb.test.f.0xb5a81fd0 decorators.py:253 in _advance Starting test: "test_timeout_testdec_fail" Description: None 2617.01ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: test_timeout_testdec_fail (result was SimTimeoutError) 2617.01ns INFO cocotb.regression regression.py:348 in execute Running test 53/60: test_timeout_testdec_pass 2617.01ns INFO cocotb.test.f.0xb5a8ca10 decorators.py:253 in _advance Starting test: "test_timeout_testdec_pass" Description: None 2627.01ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_timeout_testdec_pass 2627.01ns INFO cocotb.regression regression.py:348 in execute Running test 54/60: test_timeout_testdec_simultaneous 2627.01ns INFO cocotb.test.f.0xb5a958f0 decorators.py:253 in _advance Starting test: "test_timeout_testdec_simultaneous" Description: None 2628.01ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_timeout_testdec_simultaneous 2628.01ns INFO cocotb.regression regression.py:348 in execute Running test 55/60: test_timer_with_rational_units 2628.01ns INFO ..er_with_rational_units.0xb5a17570 decorators.py:253 in _advance Starting test: "test_timer_with_rational_units" Description: Test that rounding errors are not introduced in exact values 2630.01ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_timer_with_rational_units 2630.01ns INFO cocotb.regression regression.py:348 in execute Running test 56/60: test_timer_with_units 2630.01ns INFO ...test_timer_with_units.0xb5a21710 decorators.py:253 in _advance Starting test: "test_timer_with_units" Description: None 2630.01ns INFO cocotb.sample_module test_cocotb.py:293 in test_timer_with_units As expected, unable to create a timer of 2.5 simulator time steps 3634.52ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_timer_with_units 3634.52ns INFO cocotb.regression regression.py:348 in execute Running test 57/60: test_trigger_with_failing_prime 3634.52ns INFO ..ger_with_failing_prime.0xb5a21610 decorators.py:253 in _advance Starting test: "test_trigger_with_failing_prime" Description: Test that a trigger failing to prime throws 3634.52ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_trigger_with_failing_prime 3634.52ns INFO cocotb.regression regression.py:348 in execute Running test 58/60: test_writes_have_taken_effect_after_readwrite 3634.52ns INFO ..effect_after_readwrite.0xb5a176d0 decorators.py:253 in _advance Starting test: "test_writes_have_taken_effect_after_readwrite" Description: Test that ReadWrite fires first for the background write coro 3634.52ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_writes_have_taken_effect_after_readwrite 3634.52ns INFO cocotb.regression regression.py:348 in execute Running test 59/60: test_yield_list 3634.52ns INFO ..b.test.test_yield_list.0xb59ce2d0 decorators.py:253 in _advance Starting test: "test_yield_list" Description: Example of yielding on a list of triggers 3635.52ns WARNING cocotb.clk test_cocotb.py:159 in clock_gen Clock generator finished! 3645.52ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_yield_list 3645.52ns INFO cocotb.regression regression.py:348 in execute Running test 60/60: test_yield_list_stale 3645.52ns INFO ...test_yield_list_stale.0xb5a17170 decorators.py:253 in _advance Starting test: "test_yield_list_stale" Description: Test that a trigger yielded as part of a list can't cause a spurious wakeup 3645.53ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_yield_list_stale 3645.53ns INFO cocotb.regression regression.py:213 in tear_down Passed 60 tests (2 skipped) 3645.53ns INFO cocotb.regression regression.py:400 in _log_test_summary ******************************************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************************************* ** test_cocotb.test_duplicate_yield N/A 0.00 0.00 0.00 ** ** test_cocotb.test_not_a_coroutine N/A 0.00 0.00 0.00 ** ** test_cocotb.consistent_join PASS 58.00 0.02 2643.28 ** ** test_cocotb.join_finished PASS 0.00 0.00 2.94 ** ** test_cocotb.test_adding_a_coroutine_without_starting PASS 0.10 0.00 224.50 ** ** test_cocotb.test_afterdelay_in_readonly PASS 1.00 0.01 133.89 ** ** test_cocotb.test_afterdelay_in_readonly_valid PASS 0.00 0.00 1.01 ** ** test_cocotb.test_anternal_clock PASS 10.00 0.06 156.67 ** ** test_cocotb.test_assertion_is_failure PASS 0.00 0.00 5.36 ** ** test_cocotb.test_bad_attr PASS 0.00 0.00 1.68 ** ** test_cocotb.test_binary_value PASS 0.10 0.01 14.69 ** ** test_cocotb.test_binary_value_compat PASS 0.10 0.00 158.31 ** ** test_cocotb.test_cached_write_in_readonly PASS 0.10 0.00 39.24 ** ** test_cocotb.test_clock_cycles PASS 1.10 0.01 124.42 ** ** test_cocotb.test_clock_cycles_forked PASS 1.00 0.01 125.72 ** ** test_cocotb.test_clock_with_units PASS 2008.00 0.00 444441.51 ** ** test_cocotb.test_combine PASS 0.03 0.00 17.39 ** ** test_cocotb.test_coroutine_close_down PASS 500.10 2.61 191.49 ** ** test_cocotb.test_coroutine_kill PASS 1.20 0.00 619.37 ** ** test_cocotb.test_coroutine_syntax_error PASS 1.10 0.00 256.39 ** ** test_cocotb.test_create_error_deprecated PASS 0.00 0.00 2.18 ** ** test_cocotb.test_edge_count PASS 1.10 0.01 139.10 ** ** test_cocotb.test_edge_identity PASS 0.00 0.00 3.26 ** ** test_cocotb.test_either_edge PASS 0.05 0.00 13.97 ** ** test_cocotb.test_exceptions_direct PASS 0.01 0.00 3.08 ** ** test_cocotb.test_exceptions_first PASS 0.01 0.01 2.35 ** ** test_cocotb.test_exceptions_forked PASS 0.01 0.00 3.91 ** ** test_cocotb.test_expect_exception_list PASS 0.00 0.00 4.84 ** ** test_cocotb.test_expect_particular_exception PASS 0.00 0.00 4.84 ** ** test_cocotb.test_falling_edge PASS 0.01 0.00 4.38 ** ** test_cocotb.test_fork_and_monitor PASS 6.00 0.01 480.69 ** ** test_cocotb.test_fork_syntax_error PASS 1.10 0.00 222.43 ** ** test_cocotb.test_function_not_a_coroutine PASS 0.50 0.00 1314.99 ** ** test_cocotb.test_function_not_decorated PASS 0.00 0.00 1.64 ** ** test_cocotb.test_function_not_decorated_fork PASS 1.00 0.00 1819.90 ** ** test_cocotb.test_function_reentrant_clock PASS 2.00 0.01 337.72 ** ** test_cocotb.test_immediate_coro PASS 0.00 0.00 1.51 ** ** test_cocotb.test_immediate_test PASS 0.00 0.00 4.33 ** ** test_cocotb.test_join_identity PASS 0.00 0.00 1.92 ** ** test_cocotb.test_kill_twice PASS 0.00 0.00 2.78 ** ** test_cocotb.test_lessthan_raises_error PASS 0.00 0.00 1.79 ** ** test_cocotb.test_logging_with_args PASS 0.10 0.00 131.85 ** ** test_cocotb.test_nested_first PASS 0.00 0.00 1.79 ** ** test_cocotb.test_raise_error_deprecated PASS 0.00 0.00 2.40 ** ** test_cocotb.test_readwrite PASS 0.00 0.00 2.40 ** ** test_cocotb.test_readwrite_in_readonly PASS 10.00 0.02 584.69 ** ** test_cocotb.test_rising_edge PASS 0.01 0.00 4.60 ** ** test_cocotb.test_singleton_isinstance PASS 0.00 0.00 4.05 ** ** test_cocotb.test_stack_overflow PASS 0.10 1.76 0.06 ** ** test_cocotb.test_syntax_error PASS 1.00 0.00 250.82 ** ** test_cocotb.test_tests_are_tests PASS 0.00 0.00 5.70 ** ** test_cocotb.test_timeout_func_fail PASS 1.00 0.00 667.81 ** ** test_cocotb.test_timeout_func_pass PASS 10.00 0.00 6358.53 ** ** test_cocotb.test_timeout_testdec_fail PASS 1.00 0.00 624.87 ** ** test_cocotb.test_timeout_testdec_pass PASS 10.00 0.00 6596.51 ** ** test_cocotb.test_timeout_testdec_simultaneous PASS 1.00 0.00 433.33 ** ** test_cocotb.test_timer_with_rational_units PASS 2.00 0.00 1586.84 ** ** test_cocotb.test_timer_with_units PASS 1004.51 0.00 844842.33 ** ** test_cocotb.test_trigger_with_failing_prime PASS 0.00 0.00 3.23 ** ** test_cocotb.test_writes_have_taken_effect_after_readwrite PASS 0.00 0.00 0.85 ** ** test_cocotb.test_yield_list PASS 11.00 0.00 2260.84 ** ** test_cocotb.test_yield_list_stale PASS 0.00 0.00 1.71 ** ******************************************************************************************************************* 3645.53ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 3645.53 NS ** ** REAL TIME : 4.71 S ** ** SIM / REAL TIME : 774.57 NS/S ** ************************************************************************************* 3645.53ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_cocotb' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_cocotb' cd test_cases/test_compare && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_compare' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_compare' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s basic_hierarchy_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_compare/../../../tests/designs/basic_hierarchy_module/basic_hierarchy_module.v PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_compare:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_compare \ TESTCASE= TOPLEVEL=basic_hierarchy_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888845 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_compare.test_compare_nonhierarchy 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_compare.test_compare_simhandlebase 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/2: test_compare_nonhierarchy 0.00ns INFO ..t_compare_nonhierarchy.0xb5b87b50 decorators.py:253 in _advance Starting test: "test_compare_nonhierarchy" Description: Test for NonHierarchyObject comparisons 0.09ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_compare_nonhierarchy 0.09ns INFO cocotb.regression regression.py:348 in execute Running test 2/2: test_compare_simhandlebase 0.09ns INFO .._compare_simhandlebase.0xb5b87e50 decorators.py:253 in _advance Starting test: "test_compare_simhandlebase" Description: Test for SimHandleBase comparisons 0.16ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_compare_simhandlebase 0.16ns INFO cocotb.regression regression.py:213 in tear_down Passed 2 tests (0 skipped) 0.16ns INFO cocotb.regression regression.py:400 in _log_test_summary ************************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************************* ** test_compare.test_compare_nonhierarchy PASS 0.09 0.01 9.67 ** ** test_compare.test_compare_simhandlebase PASS 0.07 0.01 12.67 ** ************************************************************************************************* 0.16ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.16 NS ** ** REAL TIME : 0.02 S ** ** SIM / REAL TIME : 8.25 NS/S ** ************************************************************************************* 0.16ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_compare' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_compare' cd test_cases/test_configuration && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_configuration' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_configuration' Skipping simulation as VHDL is not supported on simulator=icarus make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_configuration' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_configuration' cd test_cases/test_discovery && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_discovery' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_discovery' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_discovery/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_discovery:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_discovery \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888847 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test skip_a_test 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test custom_type 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test ipython_embed 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test access_boolean 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test access_constant_boolean 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test ipython_embed_kernel 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test access_ulogic 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test access_string_vhdl 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test access_constant_integer 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_const_string_verilog 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_gate 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_integer 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_internal_register_array 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_signal 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_single_bit 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_single_bit_assignment 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_single_bit_erroneous 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.access_var_string_verilog 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.discover_module_values 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.discover_value_not_in_dut 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_discovery.recursive_discover 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/12: access_const_string_verilog 0.00ns INFO ..s_const_string_verilog.0xb5b13a70 decorators.py:253 in _advance Starting test: "access_const_string_verilog" Description: Access to a const Verilog string. 0.01ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: access_const_string_verilog (result was AttributeError) 0.01ns INFO cocotb.regression regression.py:348 in execute Running test 2/12: access_gate 0.01ns INFO cocotb.test.access_gate.0xb5b13ff0 decorators.py:253 in _advance Starting test: "access_gate" Description: Test access to a gate Object 0.02ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: access_gate (result was AttributeError) 0.02ns INFO cocotb.regression regression.py:348 in execute Running test 3/12: access_integer 0.02ns INFO ..tb.test.access_integer.0xb5b13b70 decorators.py:253 in _advance Starting test: "access_integer" Description: Integer should show as an IntegerObject 0.03ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: access_integer (result was AttributeError) 0.03ns INFO cocotb.regression regression.py:348 in execute Running test 4/12: access_internal_register_array 0.03ns INFO ..nternal_register_array.0xb5bd56f0 decorators.py:253 in _advance Starting test: "access_internal_register_array" Description: Test access to an internal register array 0.04ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: access_internal_register_array 0.04ns INFO cocotb.regression regression.py:348 in execute Running test 5/12: access_signal 0.04ns INFO ..otb.test.access_signal.0xb5bd5930 decorators.py:253 in _advance Starting test: "access_signal" Description: Access a signal using the assignment mechanism 0.04ns INFO cocotb.test test_discovery.py:98 in access_signal Signal is 0.05ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: access_signal 0.05ns INFO cocotb.regression regression.py:348 in execute Running test 6/12: access_single_bit 0.05ns INFO ..test.access_single_bit.0xb5bd55f0 decorators.py:253 in _advance Starting test: "access_single_bit" Description: Access a single bit in a vector of the DUT Icarus v0.96 doesn't support single bit access to vectors 0.06ns INFO cocotb.sample_module test_discovery.py:118 in access_single_bit 00000000 = 8 bits VCD info: dumpfile waveform.vcd opened for output. 0.06ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.06ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: access_single_bit (result was IndexError) 0.06ns INFO cocotb.regression regression.py:348 in execute Running test 7/12: access_single_bit_assignment 0.06ns INFO .._single_bit_assignment.0xb5bd5a30 decorators.py:253 in _advance Starting test: "access_single_bit_assignment" Description: Access a single bit in a vector of the DUT using the assignment mechanism Icarus v0.96 doesn't support single bit access to vectors 0.07ns INFO cocotb.sample_module test_discovery.py:138 in access_single_bit_assignment 00000000 = 8 bits 0.07ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.07ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: access_single_bit_assignment (result was IndexError) 0.07ns INFO cocotb.regression regression.py:348 in execute Running test 8/12: access_single_bit_erroneous 0.07ns INFO ..s_single_bit_erroneous.0xb5b13870 decorators.py:253 in _advance Starting test: "access_single_bit_erroneous" Description: Access a non-existent single bit Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.08ns INFO cocotb.sample_module test_discovery.py:152 in access_single_bit_erroneous 00000000 = 8 bits 0.08ns ERROR cocotb.gpi VpiImpl.cpp:330 in native_check_create Invalid Index - Index 12 is not in the range of [7:0] 0.08ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.08ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: access_single_bit_erroneous (result was IndexError) 0.08ns INFO cocotb.regression regression.py:348 in execute Running test 9/12: access_var_string_verilog 0.08ns INFO ..ess_var_string_verilog.0xb5b13970 decorators.py:253 in _advance Starting test: "access_var_string_verilog" Description: Access to a var Verilog string. 0.09ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: access_var_string_verilog (result was AttributeError) 0.09ns INFO cocotb.regression regression.py:348 in execute Running test 10/12: discover_module_values 0.09ns INFO ..discover_module_values.0xb5b13ef0 decorators.py:253 in _advance Starting test: "discover_module_values" Description: Discover everything in the DUT 0.09ns INFO cocotb.stream_out_data_comb test_discovery.py:53 in discover_module_values Found something: stream_out_data_comb(GPI_REGISTER) 0.09ns INFO cocotb.genblk1 test_discovery.py:53 in discover_module_values Found something: genblk1(GPI_GENARRAY) 0.09ns INFO cocotb.temp test_discovery.py:53 in discover_module_values Found something: temp(GPI_REGISTER) 0.09ns INFO cocotb.clk test_discovery.py:53 in discover_module_values Found something: clk(GPI_REGISTER) 0.09ns INFO cocotb.NUM_OF_MODULES test_discovery.py:53 in discover_module_values Found something: NUM_OF_MODULES(GPI_PARAMETER) 0.09ns INFO cocotb.stream_in_ready test_discovery.py:53 in discover_module_values Found something: stream_in_ready(GPI_REGISTER) 0.09ns INFO cocotb.register_array test_discovery.py:53 in discover_module_values Found something: register_array(GPI_ARRAY) 0.09ns INFO cocotb.stream_in_data test_discovery.py:53 in discover_module_values Found something: stream_in_data(GPI_REGISTER) 0.09ns INFO cocotb.stream_in_valid test_discovery.py:53 in discover_module_values Found something: stream_in_valid(GPI_REGISTER) 0.09ns INFO cocotb.stream_out_ready test_discovery.py:53 in discover_module_values Found something: stream_out_ready(GPI_REGISTER) 0.09ns INFO cocotb.stream_out_data_registered test_discovery.py:53 in discover_module_values Found something: stream_out_data_registered(GPI_REGISTER) 0.09ns INFO cocotb.stream_in_data_wide test_discovery.py:53 in discover_module_values Found something: stream_in_data_wide(GPI_REGISTER) 0.09ns INFO cocotb.and_output test_discovery.py:53 in discover_module_values Found something: and_output(GPI_REGISTER) 0.09ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: discover_module_values 0.09ns INFO cocotb.regression regression.py:348 in execute Running test 11/12: discover_value_not_in_dut 0.09ns INFO ..cover_value_not_in_dut.0xb5bd54f0 decorators.py:253 in _advance Starting test: "discover_value_not_in_dut" Description: Try and get a value from the DUT that is not there 0.09ns INFO cocotb.regression regression.py:320 in handle_result Test errored as expected: discover_value_not_in_dut (result was AttributeError) 0.09ns INFO cocotb.regression regression.py:348 in execute Running test 12/12: recursive_discover 0.09ns INFO ..est.recursive_discover.0xb5bd52b0 decorators.py:253 in _advance Starting test: "recursive_discover" Description: Discover absolutely everything in the DUT 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found stream_out_data_comb () 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found genblk1 () Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found genblk1[0] () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found idx () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found genblk1[1] () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found idx () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found genblk1[2] () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found idx () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found genblk1[3] () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found idx () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found temp () 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found clk () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found NUM_OF_MODULES () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found stream_in_ready () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found register_array () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found register_array[1] () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found register_array[0] () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found stream_in_data () 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found stream_in_valid () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found stream_out_ready () 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found stream_out_data_registered () 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found stream_in_data_wide () 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 63 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 62 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 61 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 60 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 59 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 58 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 57 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 56 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 55 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 54 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 53 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 52 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 51 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 50 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 49 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 48 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 47 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 46 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 45 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 44 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 43 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 42 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 41 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 40 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 39 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 38 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 37 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 36 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 35 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 34 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 33 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 32 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.09ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.09ns INFO cocotb.sample_module test_discovery.py:43 in _discover Found and_output () 0.09ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: recursive_discover 0.09ns INFO cocotb.regression regression.py:213 in tear_down Passed 12 tests (9 skipped) 0.09ns INFO cocotb.regression regression.py:400 in _log_test_summary ******************************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************************* ** test_discovery.skip_a_test N/A 0.00 0.00 0.00 ** ** test_discovery.custom_type N/A 0.00 0.00 0.00 ** ** test_discovery.ipython_embed N/A 0.00 0.00 0.00 ** ** test_discovery.access_boolean N/A 0.00 0.00 0.00 ** ** test_discovery.access_constant_boolean N/A 0.00 0.00 0.00 ** ** test_discovery.ipython_embed_kernel N/A 0.00 0.00 0.00 ** ** test_discovery.access_ulogic N/A 0.00 0.00 0.00 ** ** test_discovery.access_string_vhdl N/A 0.00 0.00 0.00 ** ** test_discovery.access_constant_integer N/A 0.00 0.00 0.00 ** ** test_discovery.access_const_string_verilog PASS 0.01 0.00 8.72 ** ** test_discovery.access_gate PASS 0.01 0.00 18.52 ** ** test_discovery.access_integer PASS 0.01 0.00 18.43 ** ** test_discovery.access_internal_register_array PASS 0.00 0.00 1.39 ** ** test_discovery.access_signal PASS 0.01 0.00 10.83 ** ** test_discovery.access_single_bit PASS 0.01 0.00 5.41 ** ** test_discovery.access_single_bit_assignment PASS 0.01 0.00 6.09 ** ** test_discovery.access_single_bit_erroneous PASS 0.01 0.00 8.09 ** ** test_discovery.access_var_string_verilog PASS 0.01 0.00 17.19 ** ** test_discovery.discover_module_values PASS 0.00 0.01 0.19 ** ** test_discovery.discover_value_not_in_dut PASS 0.00 0.00 1.69 ** ** test_discovery.recursive_discover PASS 0.00 0.04 0.02 ** ******************************************************************************************************* 0.09ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.09 NS ** ** REAL TIME : 0.08 S ** ** SIM / REAL TIME : 1.15 NS/S ** ************************************************************************************* 0.09ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_discovery' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_discovery' cd test_cases/test_exit_error && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_exit \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888848 0.00ns CRITICAL cocotb.regression regression.py:137 in initialise Failed to import module test_exit: invalid syntax (test_exit.py, line 14) 0.00ns INFO cocotb.regression regression.py:138 in initialise MODULE variable was "test_exit" 0.00ns INFO cocotb.regression regression.py:139 in initialise Traceback: 0.00ns INFO cocotb.regression regression.py:140 in initialise Traceback (most recent call last): File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/regression.py", line 135, in initialise module = _my_import(module_name) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/regression.py", line 63, in _my_import mod = __import__(name) File "/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error/test_exit.py", line 14 yield Timer(100)a ^ SyntaxError: invalid syntax Traceback (most recent call last): File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/__init__.py", line 175, in _initialise_testbench regression_manager.initialise() File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/regression.py", line 135, in initialise module = _my_import(module_name) File "/builddir/build/BUILD/cocotb-1.3.1/cocotb/regression.py", line 63, in _my_import mod = __import__(name) File "/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error/test_exit.py", line 14 yield Timer(100)a ^ SyntaxError: invalid syntax 0.00ns ERROR cocotb.gpi gpi_embed.c:425 in embed_sim_init cocotb initialization failed - exiting 0.00ns ERROR cocotb.scheduler __init__.py:198 in _sim_event Failing test at simulator request before test run completion: Simulator shutdown prematurely VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_exit_error' cd test_cases/test_external && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_external' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_external' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_external/../../../tests/designs/sample_module/sample_module.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_external:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_external \ TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888849 0.00ns INFO cocotb.regression regression.py:172 in initialise Skipping test test_ext_exit_error 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_ext_call_return 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_external_and_continue 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_external_from_fork 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_external_from_readonly 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_external_raised_exception 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_external_returns_exception 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_external_that_yields 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_function_called_in_parallel 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_function_from_weird_thread_fails 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_function_raised_exception 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_function_returns_exception 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_multiple_externals 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_time_in_external 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_external.test_time_in_external_yield 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/14: test_ext_call_return 0.00ns INFO ..t.test_ext_call_return.0xb5a7cd30 decorators.py:253 in _advance Starting test: "test_ext_call_return" Description: Test ability to yield on an external non cocotb coroutine decorated function 0.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_ext_call_return 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 2/14: test_external_and_continue 0.00ns INFO .._external_and_continue.0xb5a84250 decorators.py:253 in _advance Starting test: "test_external_and_continue" Description: None 0.10ns INFO cocotb.sample_module test_external.py:58 in yield_to_readwrite Returning from yield_to_readwrite 0.20ns INFO cocotb.sample_module test_external.py:60 in yield_to_readwrite Returning from yield_to_readwrite 11.20ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_external_and_continue 11.20ns INFO cocotb.regression regression.py:348 in execute Running test 3/14: test_external_from_fork 11.20ns INFO ..est_external_from_fork.0xb5a84470 decorators.py:253 in _advance Starting test: "test_external_from_fork" Description: None 11.30ns INFO cocotb.sample_module test_external.py:58 in yield_to_readwrite Returning from yield_to_readwrite 11.40ns INFO cocotb.sample_module test_external.py:60 in yield_to_readwrite Returning from yield_to_readwrite 12.40ns INFO cocotb.sample_module test_external.py:192 in test_external_from_fork Back from join 12.40ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_external_from_fork 12.40ns INFO cocotb.regression regression.py:348 in execute Running test 4/14: test_external_from_readonly 12.40ns INFO ..external_from_readonly.0xb5a7ce30 decorators.py:253 in _advance Starting test: "test_external_from_readonly" Description: None 12.40ns INFO cocotb.sample_module test_external.py:158 in test_external_from_readonly In readonly 12.40ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_external_from_readonly 12.40ns INFO cocotb.regression regression.py:348 in execute Running test 5/14: test_external_raised_exception 12.40ns INFO ..ernal_raised_exception.0xb5a847f0 decorators.py:253 in _advance Starting test: "test_external_raised_exception" Description: Test that exceptions thrown by @external functions can be caught 12.40ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_external_raised_exception 12.40ns INFO cocotb.regression regression.py:348 in execute Running test 6/14: test_external_returns_exception 12.40ns INFO ..rnal_returns_exception.0xb5a7cc30 decorators.py:253 in _advance Starting test: "test_external_returns_exception" Description: Test that exceptions can be returned by @external functions 12.41ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_external_returns_exception 12.41ns INFO cocotb.regression regression.py:348 in execute Running test 7/14: test_external_that_yields 12.41ns INFO ..t_external_that_yields.0xb5a84050 decorators.py:253 in _advance Starting test: "test_external_that_yields" Description: None 12.51ns INFO cocotb.sample_module test_external.py:58 in yield_to_readwrite Returning from yield_to_readwrite 12.61ns INFO cocotb.sample_module test_external.py:60 in yield_to_readwrite Returning from yield_to_readwrite 13.61ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_external_that_yields 13.61ns INFO cocotb.regression regression.py:348 in execute Running test 8/14: test_function_called_in_parallel 13.61ns INFO ..ion_called_in_parallel.0xb5a84590 decorators.py:253 in _advance Starting test: "test_function_called_in_parallel" Description: Test that the same `@function` can be called from two parallel background threads. 13.61ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_called_in_parallel 13.61ns INFO cocotb.regression regression.py:348 in execute Running test 9/14: test_function_from_weird_thread_fails 13.61ns INFO ..rom_weird_thread_fails.0xb5a846f0 decorators.py:253 in _advance Starting test: "test_function_from_weird_thread_fails" Description: Test that background threads caling a @function do not hang forever 13.63ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_from_weird_thread_fails 13.63ns INFO cocotb.regression regression.py:348 in execute Running test 10/14: test_function_raised_exception 13.63ns INFO ..ction_raised_exception.0xb5a84910 decorators.py:253 in _advance Starting test: "test_function_raised_exception" Description: Test that exceptions thrown by @function coroutines can be caught 13.63ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_raised_exception 13.63ns INFO cocotb.regression regression.py:348 in execute Running test 11/14: test_function_returns_exception 13.63ns INFO ..tion_returns_exception.0xb5a84370 decorators.py:253 in _advance Starting test: "test_function_returns_exception" Description: Test that exceptions can be returned by @function coroutines 13.63ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_function_returns_exception 13.63ns INFO cocotb.regression regression.py:348 in execute Running test 12/14: test_multiple_externals 13.63ns INFO ..est_multiple_externals.0xb5a7c950 decorators.py:253 in _advance Starting test: "test_multiple_externals" Description: None 13.63ns INFO cocotb.sample_module test_external.py:145 in test_multiple_externals First one completed 13.63ns INFO cocotb.sample_module test_external.py:149 in test_multiple_externals Second one completed 13.63ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_multiple_externals 13.63ns INFO cocotb.regression regression.py:348 in execute Running test 13/14: test_time_in_external 13.63ns INFO ...test_time_in_external.0xb5a84150 decorators.py:253 in _advance Starting test: "test_time_in_external" Description: Test that the simulation time does not advance if the wrapped external routine does not itself yield 23.63ns INFO cocotb.sample_module test_external.py:96 in test_time_in_external Time at start of test = 23 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 0 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 1 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 2 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 3 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 4 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 5 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 6 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 7 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 8 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 9 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 10 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 11 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 12 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 13 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 14 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 15 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 16 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 17 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 18 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 19 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 20 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 21 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 22 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 24 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 25 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 26 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 27 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 28 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 29 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 30 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 31 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 32 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 33 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 34 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 35 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 36 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 37 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 38 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 39 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 40 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 41 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 42 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 43 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 44 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 45 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 46 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 47 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 48 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 49 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 50 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 51 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 52 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 53 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 54 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 55 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 56 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 57 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 58 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 59 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 60 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 61 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 62 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 63 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 64 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 65 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 66 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 67 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 68 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 69 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 70 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 71 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 72 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 73 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 74 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 75 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 76 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 77 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 78 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 79 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 80 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 81 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 82 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 83 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 84 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 85 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 86 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 87 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 88 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 89 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 90 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 91 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 92 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 93 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 94 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 95 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 96 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 97 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 98 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 23.63ns INFO cocotb.sample_module test_external.py:98 in test_time_in_external Loop call 99 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:74 in print_sim_time Time reported = 23 23.63ns INFO cocotb.sample_module test_external.py:78 in print_sim_time external function has ended 33.63ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_time_in_external 33.63ns INFO cocotb.regression regression.py:348 in execute Running test 14/14: test_time_in_external_yield 33.63ns INFO ..time_in_external_yield.0xb5a7cf30 decorators.py:253 in _advance Starting test: "test_time_in_external_yield" Description: Test that an external function calling back into a cocotb function takes the expected amount of time 73.53ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: test_time_in_external_yield 73.53ns INFO cocotb.regression regression.py:213 in tear_down Passed 14 tests (1 skipped) 73.53ns INFO cocotb.regression regression.py:400 in _log_test_summary ************************************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************************************* ** test_external.test_ext_exit_error N/A 0.00 0.00 0.00 ** ** test_external.test_ext_call_return PASS 0.00 0.00 0.27 ** ** test_external.test_external_and_continue PASS 11.20 0.06 177.33 ** ** test_external.test_external_from_fork PASS 1.20 0.01 107.48 ** ** test_external.test_external_from_readonly PASS 0.00 0.00 0.47 ** ** test_external.test_external_raised_exception PASS 0.00 0.00 0.53 ** ** test_external.test_external_returns_exception PASS 0.00 0.00 0.48 ** ** test_external.test_external_that_yields PASS 1.20 0.01 112.91 ** ** test_external.test_function_called_in_parallel PASS 0.00 0.01 0.38 ** ** test_external.test_function_from_weird_thread_fails PASS 0.02 0.00 8.61 ** ** test_external.test_function_raised_exception PASS 0.00 0.00 0.35 ** ** test_external.test_function_returns_exception PASS 0.00 0.00 0.26 ** ** test_external.test_multiple_externals PASS 0.00 0.00 0.30 ** ** test_external.test_time_in_external PASS 20.00 0.32 61.97 ** ** test_external.test_time_in_external_yield PASS 39.90 0.44 90.55 ** ************************************************************************************************************* 73.53ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 73.53 NS ** ** REAL TIME : 0.90 S ** ** SIM / REAL TIME : 81.90 NS/S ** ************************************************************************************* 73.53ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... VCD info: dumpfile waveform.vcd opened for output. make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_external' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_external' cd test_cases/test_iteration_mixedlang && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_mixedlang' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_mixedlang' Skipping simulation as VHDL is not supported on simulator=icarus make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_mixedlang' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_mixedlang' cd test_cases/test_iteration_verilog && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_verilog' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_verilog' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s endian_swapper_sv -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_verilog/../../../examples/endian_swapper/hdl/endian_swapper.sv PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_verilog:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=test_iteration_es \ TESTCASE= TOPLEVEL=endian_swapper_sv TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888851 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_iteration_es.dual_iteration 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test test_iteration_es.recursive_discovery 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/2: dual_iteration 0.00ns INFO ..tb.test.dual_iteration.0xb5bb1a30 decorators.py:253 in _advance Starting test: "dual_iteration" Description: None 0.00ns INFO cocotb.stream_out_empty test_iteration_es.py:63 in iteration_loop Found something: stream_out_empty(GPI_REGISTER) 0.00ns INFO cocotb.stream_out_empty test_iteration_es.py:63 in iteration_loop Found something: stream_out_empty(GPI_REGISTER) 0.00ns INFO cocotb.stream_out_valid test_iteration_es.py:63 in iteration_loop Found something: stream_out_valid(GPI_REGISTER) 0.00ns INFO cocotb.stream_out_valid test_iteration_es.py:63 in iteration_loop Found something: stream_out_valid(GPI_REGISTER) 0.00ns INFO cocotb.csr_readdatavalid test_iteration_es.py:63 in iteration_loop Found something: csr_readdatavalid(GPI_REGISTER) 0.00ns INFO cocotb.csr_readdatavalid test_iteration_es.py:63 in iteration_loop Found something: csr_readdatavalid(GPI_REGISTER) 0.00ns INFO cocotb.stream_in_data test_iteration_es.py:63 in iteration_loop Found something: stream_in_data(GPI_REGISTER) 0.00ns INFO cocotb.stream_in_data test_iteration_es.py:63 in iteration_loop Found something: stream_in_data(GPI_REGISTER) 0.00ns INFO cocotb.csr_write test_iteration_es.py:63 in iteration_loop Found something: csr_write(GPI_REGISTER) 0.00ns INFO cocotb.csr_write test_iteration_es.py:63 in iteration_loop Found something: csr_write(GPI_REGISTER) 0.01ns INFO cocotb.csr_read test_iteration_es.py:63 in iteration_loop Found something: csr_read(GPI_REGISTER) 0.01ns INFO cocotb.csr_read test_iteration_es.py:63 in iteration_loop Found something: csr_read(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_startofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_in_startofpacket(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_startofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_in_startofpacket(GPI_REGISTER) 0.01ns INFO cocotb.in_packet test_iteration_es.py:63 in iteration_loop Found something: in_packet(GPI_REGISTER) 0.01ns INFO cocotb.in_packet test_iteration_es.py:63 in iteration_loop Found something: in_packet(GPI_REGISTER) 0.01ns INFO cocotb.clk test_iteration_es.py:63 in iteration_loop Found something: clk(GPI_REGISTER) 0.01ns INFO cocotb.clk test_iteration_es.py:63 in iteration_loop Found something: clk(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_valid test_iteration_es.py:63 in iteration_loop Found something: stream_in_valid(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_valid test_iteration_es.py:63 in iteration_loop Found something: stream_in_valid(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_empty test_iteration_es.py:63 in iteration_loop Found something: stream_in_empty(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_empty test_iteration_es.py:63 in iteration_loop Found something: stream_in_empty(GPI_REGISTER) 0.01ns INFO cocotb.csr_address test_iteration_es.py:63 in iteration_loop Found something: csr_address(GPI_REGISTER) 0.01ns INFO cocotb.csr_address test_iteration_es.py:63 in iteration_loop Found something: csr_address(GPI_REGISTER) 0.01ns INFO cocotb.csr_readdata test_iteration_es.py:63 in iteration_loop Found something: csr_readdata(GPI_REGISTER) 0.01ns INFO cocotb.csr_readdata test_iteration_es.py:63 in iteration_loop Found something: csr_readdata(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_ready test_iteration_es.py:63 in iteration_loop Found something: stream_in_ready(GPI_REGISTER) 0.01ns INFO cocotb.stream_in_ready test_iteration_es.py:63 in iteration_loop Found something: stream_in_ready(GPI_REGISTER) 0.01ns INFO cocotb.stream_out_data test_iteration_es.py:63 in iteration_loop Found something: stream_out_data(GPI_REGISTER) 0.01ns INFO cocotb.stream_out_data test_iteration_es.py:63 in iteration_loop Found something: stream_out_data(GPI_REGISTER) 0.01ns INFO cocotb.stream_out_startofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_out_startofpacket(GPI_REGISTER) 0.01ns INFO cocotb.stream_out_startofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_out_startofpacket(GPI_REGISTER) 0.02ns INFO cocotb.flush_pipe test_iteration_es.py:63 in iteration_loop Found something: flush_pipe(GPI_REGISTER) 0.02ns INFO cocotb.flush_pipe test_iteration_es.py:63 in iteration_loop Found something: flush_pipe(GPI_REGISTER) 0.02ns INFO cocotb.csr_waitrequest test_iteration_es.py:63 in iteration_loop Found something: csr_waitrequest(GPI_REGISTER) 0.02ns INFO cocotb.csr_waitrequest test_iteration_es.py:63 in iteration_loop Found something: csr_waitrequest(GPI_REGISTER) 0.02ns INFO cocotb.stream_out_endofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_out_endofpacket(GPI_REGISTER) 0.02ns INFO cocotb.stream_out_endofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_out_endofpacket(GPI_REGISTER) 0.02ns INFO cocotb.stream_in_endofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_in_endofpacket(GPI_REGISTER) 0.02ns INFO cocotb.stream_in_endofpacket test_iteration_es.py:63 in iteration_loop Found something: stream_in_endofpacket(GPI_REGISTER) 0.02ns INFO cocotb.DATA_BYTES test_iteration_es.py:63 in iteration_loop Found something: DATA_BYTES(GPI_PARAMETER) 0.02ns INFO cocotb.DATA_BYTES test_iteration_es.py:63 in iteration_loop Found something: DATA_BYTES(GPI_PARAMETER) 0.02ns INFO cocotb.byteswapping test_iteration_es.py:63 in iteration_loop Found something: byteswapping(GPI_REGISTER) 0.02ns INFO cocotb.byteswapping test_iteration_es.py:63 in iteration_loop Found something: byteswapping(GPI_REGISTER) 0.02ns INFO cocotb.packet_count test_iteration_es.py:63 in iteration_loop Found something: packet_count(GPI_REGISTER) 0.02ns INFO cocotb.packet_count test_iteration_es.py:63 in iteration_loop Found something: packet_count(GPI_REGISTER) 0.02ns INFO cocotb.stream_out_ready test_iteration_es.py:63 in iteration_loop Found something: stream_out_ready(GPI_REGISTER) 0.02ns INFO cocotb.stream_out_ready test_iteration_es.py:63 in iteration_loop Found something: stream_out_ready(GPI_REGISTER) 0.02ns INFO cocotb.byteswap test_iteration_es.py:63 in iteration_loop Found something: byteswap(GPI_MODULE) 0.02ns INFO cocotb.byteswap test_iteration_es.py:63 in iteration_loop Found something: byteswap(GPI_MODULE) 0.03ns INFO cocotb.reset_n test_iteration_es.py:63 in iteration_loop Found something: reset_n(GPI_REGISTER) 0.03ns INFO cocotb.reset_n test_iteration_es.py:63 in iteration_loop Found something: reset_n(GPI_REGISTER) 0.03ns INFO cocotb.csr_writedata test_iteration_es.py:63 in iteration_loop Found something: csr_writedata(GPI_REGISTER) 0.03ns INFO cocotb.csr_writedata test_iteration_es.py:63 in iteration_loop Found something: csr_writedata(GPI_REGISTER) 0.03ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: dual_iteration 0.03ns INFO cocotb.regression regression.py:348 in execute Running test 2/2: recursive_discovery 0.03ns INFO ..st.recursive_discovery.0xb5bb1c50 decorators.py:253 in _advance Starting test: "recursive_discovery" Description: Recursively discover every single object in the design 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_out_empty () VCD info: dumpfile waveform.vcd opened for output. 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_out_valid () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.csr_readdatavalid () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_in_data () 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 63 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 62 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 61 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 60 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 59 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 58 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 57 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 56 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 55 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 54 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 53 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 52 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 51 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 50 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 49 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 48 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 47 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 46 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 45 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 44 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 43 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 42 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 41 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 40 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 39 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 38 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 37 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 36 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 35 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 34 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 33 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 32 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_address). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_address). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.csr_write () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.csr_read () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_in_startofpacket () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.in_packet () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.clk () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_in_valid () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_in_empty () 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.csr_address () 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.csr_readdata () 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_in_ready () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_out_data () 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 63 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 62 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 61 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 60 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 59 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 58 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 57 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 56 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 55 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 54 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 53 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 52 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 51 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 50 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 49 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 48 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 47 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 46 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 45 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 44 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 43 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 42 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 41 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 40 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 39 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 38 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 37 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 36 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 35 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 34 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 33 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 32 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_out_startofpacket () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.flush_pipe () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.csr_waitrequest () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_out_endofpacket () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_in_endofpacket () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.DATA_BYTES () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.byteswapping () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.packet_count () 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.stream_out_ready () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.byteswap () 0.13ns WARNING cocotb.gpi VpiCbHdl.cpp:646 in VpiIterator VPI: Implementation does not know how to iterate over vpiFunction(20) 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.reset_n () 0.13ns INFO cocotb.test test_iteration_es.py:52 in dump_all_the_things Found endian_swapper_sv.csr_writedata () 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.13ns WARNING cocotb.gpi GpiCommon.cpp:372 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.13ns INFO cocotb.test test_iteration_es.py:56 in recursive_discovery Found a total of 27 things 0.13ns INFO cocotb.regression regression.py:292 in handle_result Test failed as expected: recursive_discovery (result was TestFailure) 0.13ns INFO cocotb.regression regression.py:213 in tear_down Passed 2 tests (0 skipped) 0.13ns INFO cocotb.regression regression.py:400 in _log_test_summary *********************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *********************************************************************************************** ** test_iteration_es.dual_iteration PASS 0.03 0.02 1.30 ** ** test_iteration_es.recursive_discovery PASS 0.10 0.07 1.55 ** *********************************************************************************************** 0.13ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.13 NS ** ** REAL TIME : 0.09 S ** ** SIM / REAL TIME : 1.43 NS/S ** ************************************************************************************* 0.13ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_verilog' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_verilog' cd test_cases/test_iteration_vhdl && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_vhdl' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_vhdl' Skipping simulation as VHDL is not supported on simulator=icarus make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_vhdl' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_iteration_vhdl' cd test_cases/test_multi_dimension_array && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_multi_dimension_array' Skipping test_multi_dimension_array since icarus doesn't support indexing make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_multi_dimension_array' cd test_cases/test_plusargs && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_plusargs' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_plusargs' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s tb_top -g2012 /builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_plusargs/../../../tests/designs/plusargs_module/tb_top.v PYTHONPATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l:/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_plusargs:/builddir/build/BUILD/cocotb-1.3.1: LD_LIBRARY_PATH=/builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l::/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib:/usr/lib MODULE=plusargs \ TESTCASE= TOPLEVEL=tb_top TOPLEVEL_LANG=verilog COCOTB_SIM=1 \ /usr/bin/vvp -M /builddir/build/BUILD/cocotb-1.3.1/build/libs/armv7l -m gpivpi sim_build/sim.vvp +foo=bar +test1 +test2 +options=fubar -.--ns INFO cocotb.gpi gpi_embed.c:78 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi GpiCommon.cpp:104 in gpi_print_registered_impl VPI registered 0.00ns INFO cocotb.gpi gpi_embed.c:340 in embed_sim_init Running on Icarus Verilog version 10.2 (stable) 0.00ns INFO cocotb.gpi gpi_embed.c:341 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:138 in _initialise_testbench Running tests with cocotb v1.3.1 from /builddir/build/BUILD/cocotb-1.3.1 0.00ns INFO cocotb __init__.py:155 in _initialise_testbench Seeding Python random module with 1588888853 0.00ns INFO cocotb.regression regression.py:190 in initialise Found test plusargs.plusargs_test 0.00ns INFO cocotb.regression regression.py:348 in execute Running test 1/1: plusargs_test 0.00ns INFO ..otb.test.plusargs_test.0xb5baea90 decorators.py:253 in _advance Starting test: "plusargs_test" Description: Demonstrates plusarg access from Python test COCOTB: test1 True COCOTB: test2 True COCOTB: foo bar COCOTB: options fubar 20001000000000.00ns INFO cocotb.regression regression.py:288 in handle_result Test Passed: plusargs_test 20001000000000.00ns INFO cocotb.regression regression.py:213 in tear_down Passed 1 tests (0 skipped) 20001000000000.00ns INFO cocotb.regression regression.py:400 in _log_test_summary ******************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************** ** plusargs.plusargs_test PASS 20001000000000.00 0.00 28818369736860184.00 ** ******************************************************************************** 20001000000000.00ns INFO cocotb.regression regression.py:417 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 20001000000000.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 6353398538624659.00 NS/S ** ************************************************************************************* 20001000000000.00ns INFO cocotb.regression regression.py:222 in tear_down Shutting down... SIM: Plusargs test SIM: Plusarg foo has value bar SIM: Test running make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_plusargs' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_plusargs' cd test_cases/test_verilog_access && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_verilog_access' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_verilog_access' Skipping simulation as VHDL is not supported on simulator=icarus make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_verilog_access' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_verilog_access' cd test_cases/test_vhdl_access && make make[2]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_vhdl_access' make results.xml make[3]: Entering directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_vhdl_access' Skipping simulation as VHDL is not supported on simulator=icarus make[3]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_vhdl_access' make[2]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests/test_cases/test_vhdl_access' make[1]: Leaving directory `/builddir/build/BUILD/cocotb-1.3.1/tests' ./bin/combine_results.py Ran a total of 1 TestSuites and 121 TestCases + exit 0 Processing files: python2-cocotb-1.3.1-1.el7.noarch Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.RMvOOi + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.3.1 + DOCDIR=/builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/share/doc/python2-cocotb-1.3.1 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/share/doc/python2-cocotb-1.3.1 + cp -pr README.md /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/share/doc/python2-cocotb-1.3.1 + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.kMt8hi + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.3.1 + LICENSEDIR=/builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/share/licenses/python2-cocotb-1.3.1 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/share/licenses/python2-cocotb-1.3.1 + cp -pr LICENSE /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm/usr/share/licenses/python2-cocotb-1.3.1 + exit 0 Provides: cocotb = 1.3.1-1.el7 python-cocotb = 1.3.1-1.el7 python2-cocotb = 1.3.1-1.el7 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python2 python(abi) = 2.7 Obsoletes: python-cocotb < 1.3.1-1.el7 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm Wrote: /builddir/build/RPMS/python2-cocotb-1.3.1-1.el7.noarch.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.GVCxhW + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.3.1 + /usr/bin/rm -rf /builddir/build/BUILDROOT/python-cocotb-1.3.1-1.el7.arm + exit 0 Child return code was: 0